03.11.2014 Views

VHDL 프로그래밍

VHDL 프로그래밍

VHDL 프로그래밍

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

영상 파일의 입출력<br />

• 수평 동기 신호 생성<br />

hsync_n_gen: process (dispclk, reset_disp_n)<br />

begin<br />

if (reset_disp_n='0') then<br />

s_hsync_n

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!