04.01.2015 Views

Automatic Generation of JTAG Interface and Debug Mechanism - ICE

Automatic Generation of JTAG Interface and Debug Mechanism - ICE

Automatic Generation of JTAG Interface and Debug Mechanism - ICE

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

(e.g. for the state machine for division instructions). Thus,<br />

data breakpoints related to these registers are not required.<br />

Consequently, in a third synthesis (table 1, run 3), we only<br />

added breakpoints <strong>and</strong> debug access to the five main registers.<br />

With this reasonably reduced amount <strong>of</strong> debug capabilities<br />

the required chip area for the complete architecture takes<br />

36.4 kGates. This is only an increase <strong>of</strong> 15 % compared to<br />

the area used for the architecture without debug interface. The<br />

slight decrease <strong>of</strong> area consumption for the pipeline results<br />

from dynamic optimization criteria <strong>of</strong> the synthesis tool <strong>and</strong><br />

range within its accuracy.<br />

area (kGates)<br />

run 1 run 2 run 3<br />

architecture no debug full debug reduced debug<br />

part capabilities capabilities capabilities<br />

total 31.6 100% 45.6 144% 36.4 115%<br />

pipeline 20.4 100% 20.4 100% 19.7 97%<br />

register file 11.1 100% 15.9 143% 12.1 109%<br />

debug SM - 7.7 3.1<br />

mode control - 0.2 0.2<br />

test-data-reg - 0.6 0.6<br />

TAP controller - 0.6 0.6<br />

Table 1. Area Consumption for Three Configurations<br />

<strong>of</strong> the M68HC11<br />

The implementation has been chosen in such a way that,<br />

potential critical paths are least affected. However, as can be<br />

seen in section 5 the debug-write features could not be fully<br />

removed from this critical path. Thus, there is a theoretical<br />

influence on the timing. Nevertheless, for this case study the<br />

deviations concerning timing range within the accuracy <strong>of</strong> the<br />

gate level synthesis tool. The data arrival time <strong>of</strong> the critical<br />

path amounted to about 4.5 ns. Thus, the values <strong>of</strong> the resulting<br />

maximum frequencies all are located in the range from 215<br />

MHz to 225 MHz.<br />

7 Conclusion <strong>and</strong> Future Work<br />

In this paper we presented the first automatic generation<br />

<strong>of</strong> a <strong>JTAG</strong> interface <strong>and</strong> debug mechanism from an ADL. This<br />

generation is embedded into our RTL processor synthesis flow,<br />

which uses the language LISA to generate an RTL representation<br />

<strong>of</strong> the architecture. The <strong>JTAG</strong> interface is used as interface<br />

to the architecture <strong>and</strong> thus to the debug mechanism.<br />

The debug mechanism enables features like program <strong>and</strong> data<br />

breakpoints or register read <strong>and</strong> write access. The presented<br />

synthesis results clarified that debugging capabilities should<br />

be chosen judiciously, as they have a major effect on the chip<br />

area <strong>of</strong> the target architecture. Using our RTL processor synthesis<br />

tool, the designer is able to perform this selection during<br />

design space exploration.<br />

In our future work we will investigate the suitability <strong>of</strong> our<br />

debug mechanism for architectures <strong>of</strong> various domains. Moreover,<br />

the results showed, that the current breakpoint implementation<br />

has a major impact on the required area consumption.<br />

Different improvements to the current implementation <strong>of</strong><br />

breakpoints are being investigated. Their implementation will<br />

be addressed in our future work.<br />

References<br />

[1] G. Hadjiyiannis <strong>and</strong> S. Devadas. Techniques for Accurate Performance<br />

Evaluation in Architecture Exploration. IEEE Transactions on VLSI<br />

Systems, 2002.<br />

[2] P. Mishra, A. Kejariwal, <strong>and</strong> N. Dutt. Rapid Exploration <strong>of</strong> Pipelined<br />

Processors through <strong>Automatic</strong> <strong>Generation</strong> <strong>of</strong> Synthesizable RTL Models<br />

Rapid System Prototyping. Workshop on Rapid System Prototyping<br />

(RSP), 2003.<br />

[3] V. Rajesh <strong>and</strong> R. Moona. Processor Modeling for Hardware S<strong>of</strong>tware<br />

Codesign. In Int. Conf. on VLSI Design, Jan. 1999.<br />

[4] O. Schliebusch, A. H<strong>of</strong>fmann, A. Nohl, G. Braun, <strong>and</strong> H. Meyr. Architecture<br />

Implementation Using the Machine Description Language LISA.<br />

In Proc. <strong>of</strong> the ASPDAC/VLSI Design - Bangalore, India, Jan. 2002.<br />

[5] Schliebusch, O. <strong>and</strong> Chattopadhyay, A. <strong>and</strong> Steinert, M. <strong>and</strong> Braun, G.<br />

<strong>and</strong> Nohl, A. <strong>and</strong> Leupers, R. <strong>and</strong> Ascheid, G. <strong>and</strong> Meyr, H. RTL Processor<br />

Synthesis for Architecture Exploration <strong>and</strong> Implementation. In<br />

Proceedings <strong>of</strong> the Conference on Design, Automation & Test in Europe<br />

(DATE) - Designers Forum, Paris, France, Feb 2004.<br />

[6] A. H<strong>of</strong>fmann, H. Meyr, <strong>and</strong> R. Leupers. Architecture Exploration for<br />

Embedded Processors with LISA. Kluwer Academic Publishers, 2002.<br />

[7] M. Steinert, O. Schliebusch, <strong>and</strong> O. Zerres. Design Flow for Processor<br />

Development using SystemC. In SNUG Europe Proceedings - Munich,<br />

Germany, Mar. 2003.<br />

[8] H. Scharwaechter, D. Kammler, A. Wieferink, M. Hohenauer, J. Zeng,<br />

K. Karuri, R. Leupers, G. Ascheid, <strong>and</strong> H. Meyr. ASIP Architecture<br />

Exploration for Efficient IPSec Encryption: A Case Study. In Proc.<br />

<strong>of</strong> the Workshop on S<strong>of</strong>tware <strong>and</strong> Compilers for Embedded Systems<br />

(SCOPES), Sep. 2004.<br />

[9] G. Hadjiyiannis <strong>and</strong> S. Hanono <strong>and</strong> S. Devadas. ISDL: An Instruction<br />

Set Description Language for Retargetability. In Proc. <strong>of</strong> the Design<br />

Automation Conference (DAC), Jun. 1997.<br />

[10] A. Fauth <strong>and</strong> J. Van Praet <strong>and</strong> M. Freericks. Describing Instruction<br />

Set Processors Using nML. In Proc. <strong>of</strong> the European Design <strong>and</strong> Test<br />

Conference (ED&TC), Mar. 1995.<br />

[11] Target Compiler Technologies. http://www.retarget.com.<br />

[12] A. Halambi <strong>and</strong> P. Grun <strong>and</strong> V. Ganesh <strong>and</strong> A. Khare <strong>and</strong> N. Dutt <strong>and</strong><br />

A. Nicolau. EXPRESSION: A Language for Architecture Exploration<br />

through Compiler/Simulator Retargetability. In Proc. <strong>of</strong> the Conference<br />

on Design, Automation & Test in Europe (DATE), Mar. 1999.<br />

[13] P. Paulin <strong>and</strong> C. Liem <strong>and</strong> T.C. May <strong>and</strong> S. Sutarwala. FlexWare: A<br />

Flexible Firmware Development Environment for Embedded Systems.<br />

In P. Marwedel <strong>and</strong> G. Goosens, editors, Code <strong>Generation</strong> for Embedded<br />

Processors. Kluwer Academic Publishers, 1995.<br />

[14] A. Kitajima <strong>and</strong> M. Itoh <strong>and</strong> J. Sato <strong>and</strong> A. Shiomi <strong>and</strong> Y. Takeuchi <strong>and</strong><br />

M. Imai. Effectiveness <strong>of</strong> the ASIP Design System PEAS-III in Design<br />

<strong>of</strong> Pipelined Processors. In Proc. <strong>of</strong> the Asia South Pacific Design<br />

Automation Conference (ASPDAC), Jan. 2001.<br />

[15] ASIP Meister. http://www.eda-meister.org.<br />

[16] R. Gonzales. Xtensa: A configurable <strong>and</strong> extensible processor. IEEE<br />

Micro, Mar. 2000.<br />

[17] Tensilica. http://www.tensilica.com.<br />

[18] Stretch. http://www.stretchinc.com.<br />

[19] V. Kathail <strong>and</strong> S. Aditya <strong>and</strong> R. Schreiber <strong>and</strong> B.R. Rau <strong>and</strong> D. Cronquist<br />

<strong>and</strong> M. Sivaraman. <strong>Automatic</strong>ally Designing Custom Computers.<br />

IEEE Computer, 35(9):39–47, Sept. 2002.<br />

[20] IEEE-ISTO. The Nexus 5001 Forum, St<strong>and</strong>ard for a Global Embedded<br />

Processor <strong>Debug</strong> <strong>Interface</strong>, December 1999.<br />

[21] IEEE, Inc., 3 Park Avenue, New York, NY 10016-5997, USA. IEEE Std<br />

1149.1-2001, St<strong>and</strong>ard Test Access Port <strong>and</strong> Boundary-Scan Architecture,<br />

June 2001.<br />

[22] Synopsys. DesignWare Components<br />

http://www.synopsys.com/products/designware/designware.html.<br />

[23] Motorola. 68HC11: Microcontroller<br />

http://www.motorola.com.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!