18.11.2012 Views

Interview with Dr. William Chen of ASE Next up for 3D ... - I-Micronews

Interview with Dr. William Chen of ASE Next up for 3D ... - I-Micronews

Interview with Dr. William Chen of ASE Next up for 3D ... - I-Micronews

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

M a g a z i n e o n 3 d - i C , t s v , W l P & e m b e d d e d t e c h n o l o g i e s<br />

I S S U E n ° 1 7 n O V E M B E R 2 0 1 0<br />

e d i t o r i a l C o M P a N Y v i s i o N<br />

Xilinx made a big announcement last<br />

month when announcing their intention<br />

to commercialize <strong>3D</strong> silicon interposers<br />

based on TSV interconnects <strong>for</strong> their nextgeneration<br />

28nm FPGAs in their Virtex 7<br />

future product line.<br />

Let’s look at why this announcement is so<br />

important: To begin <strong>with</strong>, Xilinx is the first<br />

large semiconductor company to jump into<br />

the free space <strong>of</strong> <strong>3D</strong> integration in the logic<br />

area. It’s quite impressive that a fabless<br />

company is taking the first big step in this<br />

new direction <strong>for</strong> manufacturing. Indeed,<br />

Xilinx is kind <strong>of</strong> “cleaning the pipeline” so that<br />

other players can quickly follow in the FPGA<br />

and high-per<strong>for</strong>mance ASIC spaces.<br />

To be continued on page 2<br />

a N a l Y s i s<br />

<strong>Next</strong> <strong>up</strong> <strong>for</strong> <strong>3D</strong> ICs: Wide I/O<br />

<strong>Interview</strong> <strong>with</strong> <strong>Dr</strong>. <strong>William</strong> <strong>Chen</strong> <strong>of</strong> <strong>ASE</strong><br />

Yole Développement recently had an opportunity to interview <strong>William</strong> (Bill) <strong>Chen</strong><br />

about his long career in microelectronics packaging and his current activities as<br />

a part <strong>of</strong> <strong>ASE</strong>.<br />

Yole Développement: <strong>Dr</strong> <strong>Chen</strong> be<strong>for</strong>e we start<br />

our questions on <strong>ASE</strong>, can you share a little on<br />

your past history. It’s our understanding that<br />

you had a full career at IBM be<strong>for</strong>e coming to<br />

<strong>ASE</strong> and that you just finished two terms as<br />

President <strong>of</strong> the IEEE Component, Packaging<br />

and Manufacturing Technology Society (CPMT).<br />

Can you fill us in on this part <strong>of</strong> your past?<br />

Bill <strong>Chen</strong>: After I completed my PhD studies<br />

at Cornell University, I started working at the<br />

IBM Development Laboratory in Endicott New<br />

York. It was still at an early point in the history <strong>of</strong><br />

electronics and certainly an exciting time at IBM.<br />

I soon gravitated to work in electronic packaging.<br />

The science was intriguing and technology was<br />

new. I learned how to do engineering from concept<br />

nucleation, feasibility demonstration, and product<br />

development to manufacturing. I spent over 33 years<br />

at IBM in various technical and R&D management<br />

positions. After retirement, I joined the Institute <strong>of</strong><br />

Materials Research and Engineering (IMRE) in<br />

Singapore. My initial role at IMRE was to establish a<br />

research program in Electronic Packaging <strong>with</strong>in this<br />

young Research Institute. As it turned out I became<br />

the Director <strong>of</strong> IMRE, nurturing the young Institute to<br />

become the premier materials research institute in<br />

the region. I retired from IMRE to join <strong>ASE</strong> in 2000.<br />

I was President <strong>of</strong> IEEE CPMT Society from 2006 to<br />

2009. I am currently co-chair <strong>of</strong> the ITRS Assembly<br />

and Packaging ITWG. I have been<br />

elected a Fellow <strong>of</strong> ASME and IEEE.<br />

The wide I/O interface is already being embraced as the next step in the evolution <strong>of</strong> <strong>3D</strong> IC integration.<br />

PLATINUM PARTNERS:<br />

What is the impact<br />

<strong>of</strong> Xilinx’s <strong>3D</strong><br />

silicon interposer<br />

announcement?<br />

Many companies are publicly discussing their<br />

<strong>3D</strong> IC integration roadmaps and the role<br />

wide input/output (I/O) interfaces will play.<br />

For starters, South Korean-based electronics giant<br />

Samsung hails the wide I/O and through-silicon via<br />

(TSV) combination as the “best <strong>of</strong> both worlds” in<br />

terms <strong>of</strong> achieving per<strong>for</strong>mance and thin multipledie<br />

stacks.<br />

Memory maker Elpida, based in Tokyo, Japan, is<br />

actively developing next-gen mobile wide I/O DRAM,<br />

which expands the I/O interface bus width, and<br />

mounting technologies that use TSVs. In fact, Elpida<br />

has installed a production line at its Hiroshima Plant<br />

to develop TSV and mass production technologies<br />

<strong>for</strong> multiple connections using TSVs.<br />

And Nokia, an Espoo, Finland-based leader in<br />

the trans<strong>for</strong>mation and growth <strong>of</strong> the converging<br />

Internet and communications industries, describes<br />

the evolution <strong>of</strong> <strong>3D</strong> IC integration as moving from<br />

2.5 to true <strong>3D</strong>, relying on various applications <strong>of</strong><br />

TSVs in silicon interposers, memories, and ICs.<br />

The company plans to integrate wide I/O interface<br />

structures using TSVs <strong>for</strong> mobile phones in volume<br />

by 2013.<br />

Why I/O<br />

interfaces?<br />

When asked what’s<br />

fueling the drive to use<br />

wide I/O interfaces<br />

<strong>for</strong> <strong>3D</strong> ICs, answers<br />

vary slightly from<br />

company to company<br />

but a theme is clearly<br />

emerging.<br />

As handheld devices become increasingly more<br />

sophisticated, applications are emerging that<br />

require much higher memory bandwidth, says<br />

Jeff Brighton, director <strong>of</strong> CMOS <strong>3D</strong>IC technology<br />

development at Texas Instruments (TI; Dallas,<br />

Texas). “However, fundamental power and thermal<br />

limitations remain the same as in today’s handsets.<br />

The initial version <strong>of</strong> a wide I/O memory interface<br />

will deliver 12.8GB/s <strong>of</strong> memory bandwidth—while<br />

keeping the processor plus memory system-onchip<br />

(SoC) power consumption under control,” he<br />

adds. ...<br />

2<br />

C o N t e N t s<br />

e v e N t s 2<br />

a N a l Y s i s 2<br />

C o M P a N Y v i s i o N s 6<br />

a N a l Y s t C o r N e r 2 2<br />

Free registration on<br />

www.i-micronews.com<br />

10<br />

Wide I/O interface <strong>with</strong> TSV <strong>for</strong> Mobile processors<br />

(Courtesy <strong>of</strong> Texas Instruments)<br />

Printed on recycled paper


2<br />

N O V E M B E R 2 0 1 0 i s s u e n ° 1 7<br />

E D I T O R I a L<br />

But this achievement also clearly raises the<br />

importance <strong>of</strong> s<strong>up</strong>ply chain collaboration.<br />

This would never have been possible <strong>with</strong>out<br />

a close collaboration that began 4 years<br />

ago between key partners such as imec <strong>for</strong><br />

initial R&D, TSMC as a CMOS and interposer<br />

turnkey foundry, Amkor and Ibiden <strong>for</strong> the final<br />

substrate, assembly, packaging & test.<br />

This announcement also confirms the nearterm<br />

availability <strong>of</strong> a high-reliability “via middle”<br />

copper-filled-type <strong>of</strong> TSV manufactured in the<br />

CMOS wafer foundry environment. It is an<br />

important sign that the infrastructure <strong>for</strong> such<br />

vias will be ready soon—after many years<br />

<strong>of</strong> R&D and overcoming numerous technical<br />

issues (such as long via filling and plating<br />

time, copper vias CTE mismatch <strong>with</strong> silicon,<br />

growing <strong>of</strong> high aspect ratios isolation / seed<br />

/ barrier layers in TSV, contamination issues,<br />

etc.). Unsurprisingly, foundry giant TSMC<br />

seems to be one <strong>of</strong> the key players the closest<br />

to the production <strong>of</strong> these emerging types <strong>of</strong><br />

substrates!<br />

Last, but certainly not least, Xilinx’s<br />

annoucement confirms that the “2.5D age”<br />

is here. Indeed, <strong>3D</strong> interposers, based either<br />

on glass or silicon substrates, are definitely<br />

bridging the gap to the later step toward fully<br />

redesigned and partitioned <strong>3D</strong>ICs. It will be<br />

interesting to look at the details <strong>of</strong> Xilinx’s<br />

silicon interposer when coming to market in a<br />

real product, as it will certainly serve as a first<br />

“reference design” <strong>of</strong> its kind that could serve<br />

another part <strong>of</strong> the IC industry <strong>for</strong> different<br />

applications, leveraging a real plat<strong>for</strong>m<br />

available from niche to high-volume markets.<br />

Yole Développement has always predicted<br />

well in advance the next “big trends” that<br />

will emerge in the <strong>3D</strong> packaging space and,<br />

hopefully, will continue to do so. In 2006, we<br />

announced that TSV would become a reality<br />

in MEMS that would move way beyond this<br />

space. In 2007, we announced the imminent<br />

production <strong>of</strong> TSV in CMOS image sensors.<br />

In 2008, we announced that 2.5D interposers<br />

would become a bridge plat<strong>for</strong>m be<strong>for</strong>e fully<br />

redesigned <strong>3D</strong>ICs. In 2009, we announced<br />

the imminent arrival <strong>of</strong> TSV interconnects in<br />

the stacked DRAM memory area, and later on<br />

in high-speed, low-power-consumption wide<br />

I/O interface applications. But what exactly is<br />

“wide I/O”? I invite you to discover the ‘next<br />

big thing’ ahead <strong>for</strong> <strong>3D</strong>ICs inside our <strong>3D</strong><br />

Packaging magazine #17!<br />

Jérôme Baron, baron@yole.fr<br />

E V E N T S<br />

• 3-D Architectures <strong>for</strong> Semiconductor<br />

Integration and Packaging<br />

December 8 to 10, 2010 - Burlingame, CA<br />

• EPTC<br />

December 8 to 10, 2010 - Shangri-La Hotel,<br />

Singapore<br />

• IC Packaging Technology Expo<br />

January 19 to 21, 2011 - Tokyo, Japan<br />

GOLD PARTnERS:<br />

a N a L y S I S<br />

<strong>Next</strong> <strong>up</strong> <strong>for</strong> <strong>3D</strong> ICs: Wide I/O<br />

From page 1<br />

“In a nutshell, the wide I/O interface allows us to reach<br />

a high bandwidth at an acceptable power consumption<br />

level <strong>for</strong> a cell phone; it is exhibiting an extremely<br />

interesting power per bit ratio. And on top <strong>of</strong> that, it’s<br />

a standard that should be able to evolve toward even<br />

higher per<strong>for</strong>mances, <strong>with</strong> an evolution path from SDR<br />

to DDR and frequency increase,” points out Yann<br />

Guillou, who leads <strong>3D</strong> and advanced packaging in<br />

the CTO and Strategic Planning Office at ST-Ericsson<br />

(Geneva, Switzerland), a leader in innovative mobile<br />

plat<strong>for</strong>ms and wireless semiconductors.<br />

“The per<strong>for</strong>mance targets are <strong>for</strong> significantly lower<br />

power at a high bandwidth <strong>with</strong> small <strong>for</strong>m factor.<br />

These specifications are being set by JEDEC’s 42.6<br />

Committee, in collaboration <strong>with</strong> memory s<strong>up</strong>pliers,<br />

<strong>with</strong> 12.8GB/s bandwidth <strong>for</strong> initial instantiations,”<br />

says Matt Nowak, senior director <strong>of</strong> engineering<br />

in the VLSI Technology Gro<strong>up</strong>, CDMA Technology<br />

Division, at Qualcomm (San Diego, Calif.), a leader<br />

in next-generation mobile technologies.<br />

“The key reason driving wide I/O interfaces is<br />

lowering the device power while maintaining the<br />

same per<strong>for</strong>mance and bandwidth requirements. In<br />

some cases you can reduce the power from 10W<br />

to 4W,” says Calvin Cheung, vice president <strong>of</strong><br />

engineering <strong>for</strong> application and design at Taiwanbased<br />

packaging and testing house Advanced<br />

Semiconductor Engineering (<strong>ASE</strong>).<br />

At San Jose, Calif.-based Avago Technologies, a<br />

provider <strong>of</strong> solutions <strong>for</strong> wireless communications,<br />

the driver <strong>for</strong> wide memory I/O is a high data rate<br />

<strong>with</strong> low latency. “We’re seeing 10s <strong>of</strong> GB/s on<br />

products we’re working on right now, and our<br />

customers are moving us to 100s <strong>of</strong> GB/s and<br />

N e w s l e t t e r o n 3 D I C , T S V , W L P & E m b e d d e d T e c h n o l o g i e s<br />

Elpida DRAM memory roadmap <strong>for</strong> Wide I/O<br />

interface <strong>with</strong> TSV in next generation smart-phone<br />

mobile and tablet devices (Courtesy <strong>of</strong> Elpida)<br />

would like even more if it was available,” says Pete<br />

O’Neill, Test, Reliability, & Technology Engineer.<br />

“Regarding latency, the lower the better. Avoiding<br />

the latency <strong>of</strong> a serial interface really helps. As far<br />

as power consumption, our customers are limited<br />

by power in many cases, so they’re trying to get<br />

as much per<strong>for</strong>mance as possible <strong>with</strong>in a power<br />

envelope. Serial I/O power is a big contributor to<br />

overall power, and we’d like to eliminate that.”<br />

Breaking it down a bit more, programmable chip<br />

provider Xilinx Inc.’s (San Jose, Calif.) Patrick<br />

Dorsey, senior director <strong>of</strong> product management,<br />

and Arif Rahman, principal engineer and<br />

technology architect, explain that when using field-<br />

Nokia’s Wide I/O interface between logic and DRAM memories <strong>with</strong> need TSV interconnects to meet the next<br />

generation per<strong>for</strong>mance requirements (Courtesy <strong>of</strong> Nokia)


N o v e M B e r 2 0 1 0 i s s u e n ° 1 7<br />

Xilinx recently introduced <strong>3D</strong> Silicon intersposers <strong>with</strong> TSV <strong>for</strong> wide I/O interface in FPGA products<br />

(Courtesy <strong>of</strong> Xilinx)<br />

programmable gate arrays (FPGAs), their customers<br />

use a variety <strong>of</strong> bus lengths and proprietary<br />

wide interfaces to maximize per<strong>for</strong>mance. SoC<br />

designs comprise millions <strong>of</strong> gates connected by<br />

complex networks <strong>of</strong> wires in the <strong>for</strong>m <strong>of</strong> multiple<br />

buses, complicated clock distribution networks,<br />

and multitudes <strong>of</strong> control signals. To successfully<br />

partition a SoC design across multiple FPGAs<br />

requires an abundance <strong>of</strong> I/Os to implement the<br />

nets spanning the gap between FPGAs.<br />

And Ka<strong>up</strong>pi Kujala, senior technology manager at<br />

Nokia R&D, sums it all <strong>up</strong>: Wide I/O per<strong>for</strong>mance<br />

target assumptions include 12.8GB/s, peak<br />

bandwidth, 4-channel SDRAM x128 200MHztype<br />

interface, 1.2V LVCMOS look-alike, power<br />

approximately 500mW (which <strong>of</strong>fers a large power<br />

savings compared to LPDDR2), <strong>with</strong> a maximum<br />

DRAM memory die count <strong>of</strong> 4.<br />

What’s driving wide I/O?<br />

The key driver behind wide I/O right now is that the<br />

mobile phone industry is embracing it as a solution<br />

to combine processors <strong>with</strong> memories, especially<br />

<strong>for</strong> high-end smartphones and connected devices.<br />

Smartphone marketshare has soared from less<br />

than 5% a few years ago to nearly 30% today.<br />

And devices such as tablets, e-readers, or<br />

netbooks are also appearing and bringing along<br />

demanding needs <strong>for</strong> high bandwidth data <strong>with</strong>out<br />

major power penalties. “The web experience is<br />

being redefined <strong>with</strong> the mobile phone, and HD is<br />

moving from our home environment and becoming<br />

mobile. As a consequence, the computing power<br />

<strong>of</strong> new application processor engines (APE) and<br />

multicore CPUs is growing and the latest solutions<br />

are being defined to run well above 1GHz,” Guillou<br />

says. “Similarly, the multimedia per<strong>for</strong>mance <strong>of</strong><br />

these APE will need to deliver features such as<br />

HD 1080p encode/decode <strong>with</strong> 60fps or even<br />

higher, dual density, <strong>3D</strong> graphics, etc. To deliver<br />

this per<strong>for</strong>mance, the wide I/O interface is one<br />

technology <strong>of</strong> interest.”<br />

Guillou expects this new interface to make an<br />

appearance on high-end plat<strong>for</strong>ms first, followed<br />

by potential penetration into lower-end market<br />

segments later.<br />

Wide I/O is based on highly parallelized interface<br />

<strong>with</strong> a relatively low memory frequency <strong>of</strong> 200MHz.<br />

This means that more than 1100 connections are<br />

needed to connect the logic die <strong>with</strong> the memory<br />

die, he explains.<br />

“Such a high number <strong>of</strong> interconnections can’t<br />

be done through a traditional package, such as<br />

package-on-package (PoP), where the ball pitch<br />

is in the range <strong>of</strong> 0.5 or 0.4mm. Dies need to be<br />

N e w s l e t t e r o n 3 d i C , t s v , W l P & e m b e d d e d t e c h n o l o g i e s<br />

directly connected to the laminate substrate.<br />

Flip chip is currently preferred over wire bonding<br />

<strong>for</strong> APE, due to high I/O density and specific<br />

per<strong>for</strong>mance needs,” adds Guillou. “So, if the logic<br />

die needs to be flip chip and the wide I/O memory<br />

needs to be directly connected to the logic die,<br />

TSV must be implemented in the logic die so we<br />

can obtain a ‘face-to-back’ configuration where the<br />

active part <strong>of</strong> the memory die is facing the backside<br />

<strong>of</strong> the logic die.”<br />

Concurring <strong>with</strong> ST-Ericsson’s perspective, Nokia<br />

believes that <strong>for</strong> mobile phones there are many-use<br />

cases such as <strong>3D</strong> graphics, 1080 encode/decode,<br />

external HD displays, and especially the related<br />

multitasking, which are behind the adoption <strong>of</strong> the<br />

wide I/O interface. “We see it as the best approach to<br />

integrate logic <strong>with</strong> DRAM by having the logic flip chip<br />

connected to a substrate <strong>with</strong> TSV connections to<br />

the backside <strong>for</strong> the wide I/O interface, <strong>with</strong> memory<br />

facing the backside <strong>of</strong> the logic,” Kujala says. “If<br />

there’s more than one DRAM µbump connected to<br />

the logic, then the DRAM also needs TSVs.”<br />

As O’Neill puts it: “Networking is the application that’s<br />

driving Avago’s interest in wide I/O. <strong>3D</strong> integration<br />

makes a wide memory interface spatially possible,<br />

while drastically reducing I/O power. Networking<br />

chips need multiple, independent memory arrays,<br />

each <strong>with</strong> a wide interface that pushes memory-tologic<br />

interface density beyond the capability <strong>of</strong> sideby-side<br />

multichip interconnect technology.”<br />

“Networking is the application that’s driving Avago’s interest<br />

in wide I/O. <strong>3D</strong> integration makes a wide memory<br />

interface spatially possible, while drastically reducing I/O power,”<br />

explains Pete O’Neill, Avago Technologies<br />

Dorsey and Rahman say that Xilinx’s<br />

customers, encompassing aerospace and defense,<br />

communications, medical, test and measurement,<br />

high-per<strong>for</strong>mance computing, and ASIC prototyping<br />

Nokia’s next generation mobile phones and tablet systems will need wide I/O interface based <strong>3D</strong>ICs <strong>with</strong><br />

TSV interconnects <strong>for</strong> high bandwidth, low power consumption (Courtesy <strong>of</strong> Nokia)<br />

3


4<br />

N o v e M B e r 2 0 1 0 i s s u e n ° 1 7<br />

(emulation), who want to implement their nextgeneration<br />

applications <strong>with</strong> FPGAs, are likely<br />

to benefit from the earlier availability <strong>of</strong> the<br />

most resource-rich FPGA devices—including<br />

applications <strong>with</strong> wide I/O interfacing requirements.<br />

Challenges <strong>for</strong> commercialization?<br />

There are a variety <strong>of</strong> interesting viewpoints about<br />

what the biggest commercialization challenges <strong>for</strong><br />

wide I/O will be.<br />

Brighton sees three big challenges <strong>for</strong> the<br />

commercialization <strong>of</strong> the wide I/O interface. “First:<br />

the availability and maturity <strong>of</strong> process technology;<br />

this is just starting to come together. Two: Cost. A<br />

wide I/O interface requires added per<strong>for</strong>mance,<br />

but the consumers’ willingness to pay <strong>for</strong> it is<br />

limited. Whatever we do must be cost effective.<br />

Three: Standardization. Today there is no wide<br />

I/O standard, but momentum is really growing,” he<br />

says. And while interposers make sense in some<br />

applications, the cost <strong>of</strong> the additional piece <strong>of</strong><br />

silicon needs to be taken into consideration.<br />

O’Neill says the biggest commercialization<br />

challenge is interesting DRAM makers in <strong>of</strong>fering<br />

a wide interface, high data rate, low latency chip<br />

suitable <strong>for</strong> networking, especially one divided into<br />

multiple arrays, given the size <strong>of</strong> the networking<br />

market compared to mobile devices and PCs.<br />

“What we really want to see is a wide I/O memory<br />

wafer that can be configured in both interconnect<br />

and physical size to match a variety <strong>of</strong> ASICs,” he<br />

adds. O’Neill expects the second-largest challenge<br />

will be the s<strong>up</strong>ply chain.<br />

Nowak sees cost as the biggest hurdle, especially<br />

<strong>for</strong> cost-sensitive, high-volume mobile applications.<br />

He says he won’t be surprised if interposers are used<br />

<strong>for</strong> applications such as servers, FPGAs, and tablets<br />

where size isn’t an issue, but believes they’re unlikely<br />

to be used in smaller <strong>for</strong>m factor mobile devices such<br />

as smartphones due to size and cost constraints.<br />

Guillou believes the main challenge <strong>of</strong> wide I/O is its<br />

intrinsic novelty that can be considered disr<strong>up</strong>tive<br />

and the fact that it impacts many different areas.<br />

“Obviously, a mature, reliable, fully characterized<br />

TSV and assembly technology at an af<strong>for</strong>dable cost<br />

process is required,” he says. “However, it’s not<br />

all about process technology. Complexity comes<br />

from the consequences wide I/O interface has, <strong>for</strong><br />

instance, on logic die floorplans, <strong>3D</strong> design flow,<br />

testability, memory hierarchy, business model, and<br />

s<strong>up</strong>ply chain. In the end, to be successful, wide I/O<br />

needs to be technically and commercially viable <strong>for</strong><br />

all players involved along the s<strong>up</strong>ply chain.”<br />

A wide I/O JEDEC standard defines bump positioning<br />

and assignment <strong>of</strong> signals to have all memory<br />

providers delivering the same ball out. “As a result,<br />

the silicon interposer that matches the memory <strong>with</strong><br />

the logic die becomes optional,” Guillou says. “The<br />

mobile industry has to deal <strong>with</strong> tough cost, footprint,<br />

and thickness constraints. The wide I/O interface<br />

N e w s l e t t e r o n 3 d i C , t s v , W l P & e m b e d d e d t e c h n o l o g i e s<br />

already ‘isn’t free.’ Adding an additional interposer,<br />

which should contain TSV and microbumps as well,<br />

won’t help make this technology more af<strong>for</strong>dable or<br />

the final stack thinner. The silicon interposer isn’t the<br />

option ST-Ericsson is considering.”<br />

There are also many technical challenges related<br />

to the wide I/O µbump interface, Kujala points out,<br />

such as how to connect more than 1200 µbumps<br />

between the dies. “The die must have very good<br />

coplanarity to be able to connect the other die <strong>with</strong><br />

µbump and interface into that,” he says. “If we will<br />

have more DRAM dies, are the memory dies coming<br />

separate or as a pre-assembled memory ‘cube’?”<br />

“In the end, to be successful, wide I/O needs to be<br />

technically and commercially viable <strong>for</strong> all players involved<br />

along the s<strong>up</strong>ply chain,” explains Yann Guillou, ST-Ericsson<br />

Mobile & Portable Devices are Placing Stringent Demands <strong>for</strong> DRAM bandwidth<br />

(Courtesy <strong>of</strong> Rambus, Yole Developpement)<br />

Logic + Memory Integration Scenarios (Courtesy <strong>of</strong> QualComm, IMEC & Javelin)<br />

Kujala doesn’t see a major benefit from a silicon<br />

interposer between logic and DRAM. “The other<br />

solution would be side-by-side logic and DRAM on<br />

top <strong>of</strong> a silicon interposer, but that’s not <strong>for</strong> mobile<br />

phone applications due to the large size. Nokia’s<br />

target is to go <strong>for</strong> wide I/O <strong>with</strong>out an additional<br />

silicon interposer,” he explains.<br />

And from an OSAT perspective, the biggest<br />

challenges are thin wafer handling and tight pitch<br />

assembly <strong>for</strong> the middle-end and back-end assembly<br />

process, says Cheung. Another challenge, he adds,<br />

is the known good die test methodology.<br />

Standardization?<br />

Standardization will play a critical role in <strong>3D</strong>IC<br />

integration and is currently being discussed by<br />

many industry organizations.<br />

Industry collaboration has already begun. “There<br />

are a variety <strong>of</strong> standardization and consortia<br />

gro<strong>up</strong>s working on TSV, so there’s a lot <strong>of</strong><br />

momentum in this area,” Brighton says. “In addition<br />

to overt standardization ef<strong>for</strong>ts, TI expects to see<br />

significant convergence <strong>of</strong> ideas as the technology<br />

matures, but this effect <strong>of</strong> ‘natural selection’ will take<br />

some time to develop.”


N o v e M B e r 2 0 1 0 i s s u e n ° 1 7<br />

Standards body JEDEC is among those leading<br />

important standardization activities <strong>for</strong> wide I/O,<br />

and companies such as OEMS, memory providers,<br />

chipset s<strong>up</strong>pliers, and test, packaging, and IP houses<br />

are also deeply involved in the process, notes Guillou.<br />

Nokia is among those participating in JEDEC’s wide<br />

I/O standardization work, and Kujala says that their<br />

preference is to follow JEDEC’s lead. “Based on the<br />

standard, there will be an <strong>of</strong>fering by IC s<strong>up</strong>pliers,”<br />

he explains. “For the whole <strong>3D</strong>IC technology<br />

development, having a standard is a positive step,<br />

and Nokia sees this activity as one <strong>of</strong> the first<br />

common targets <strong>for</strong> the entire industry.”<br />

Xilinx is also working <strong>with</strong> industry gro<strong>up</strong>s including<br />

Imec, Sematech, and SEMI to help promote and<br />

s<strong>up</strong>port standardization in this area, according to<br />

Dorsey and Rahman.<br />

Avago firmly believes standardization is essential,<br />

O’Neill says, although it’s not yet clear who’s<br />

leading since several standards organizations<br />

(JEDEC, GSA, SEMI, IEEE) have recently become<br />

involved in <strong>3D</strong> integration work and each is<br />

addressing different aspects. At a recent JEDEC<br />

meeting, Avago proposed creating a task gro<strong>up</strong> to<br />

develop a standard <strong>for</strong> a configurable, stackable,<br />

high data rate, low latency DRAM. The JEDEC<br />

42.2 committee assigned this item 1787.01 and is<br />

organizing the task gro<strong>up</strong>.<br />

Cheung and Nowak indicate that they’re seeing<br />

many companies from the semiconductor industry<br />

participate in the wide I/O standardization committee<br />

ef<strong>for</strong>ts.<br />

Bottom line: The industry is clearly collaborating and<br />

targeting wide I/O standards. It’s only a question <strong>of</strong><br />

timing now.<br />

Ahead: S<strong>up</strong>ply chain issues?<br />

The s<strong>up</strong>ply chain is a key part <strong>of</strong> the wide I/O<br />

approach, and there are issues worth noting.<br />

Nearly everyone involved in <strong>3D</strong> integration cites the<br />

s<strong>up</strong>ply chain as their major practical concern, points<br />

out O’Neill. “It’s not at all clear how the established<br />

players will divide the new functions and whether<br />

new players will fill in the gaps,” he says. “The s<strong>up</strong>ply<br />

chain is particularly difficult <strong>for</strong> fabless companies<br />

that need s<strong>up</strong>pliers <strong>for</strong> new operations such as TSV<br />

<strong>for</strong>mation and die-to-wafer bonding that neither the<br />

foundries nor OSATS currently provide.”<br />

A good example in terms <strong>of</strong> who’s responsible<br />

<strong>for</strong> what: Guillou expects via middle TSV is the<br />

technology option the industry is most likely to select,<br />

<strong>with</strong> the TSV process run in foundries between<br />

FEOL and BEOL. “TSV will be embedded <strong>with</strong>in a<br />

‘regular’ thickness CMOS wafer,” he explains. “To<br />

make the TSV emerge and connect the logic die <strong>with</strong><br />

the memory, the logic wafer will need to be thinned<br />

to a few 10s <strong>of</strong> microns. Depending on what point<br />

in the chain the foundry stops and the assembly<br />

begins, very thin wafers or stacks will be manipulated<br />

and exchanged between foundries and assembly<br />

houses. These aspects are an area <strong>of</strong> concern <strong>for</strong><br />

companies, especially since using a temporary<br />

carrier to ensure rigidity <strong>of</strong> the thin wafer stacks<br />

would be necessary. If carriers are used, some<br />

agreements should be redefined between foundries<br />

and packaging houses—especially regarding the<br />

bonding/debonding process. This is a key step in the<br />

process that also needs standardization.”<br />

From Brighton’s perspective, chip s<strong>up</strong>pliers and<br />

OSATs must collaborate more closely to meet<br />

customers’ requirements. “As an industry, we have<br />

challenges about the compatibility <strong>of</strong> processes and<br />

materials used by different foundries and OSATs,” he<br />

says.<br />

Another challenge Cheung sees is timing. How<br />

quickly and effectively the industry can come <strong>up</strong><br />

<strong>with</strong> cost-effective assembly equipment and an<br />

Calvin Cheung is vice president<br />

<strong>of</strong> engineering <strong>for</strong> Application<br />

and Design at Advanced<br />

Semiconductor Engineering (<strong>ASE</strong>)<br />

Inc. Be<strong>for</strong>e joining <strong>ASE</strong>, Cheung<br />

spent many years at AMD, in<br />

a variety <strong>of</strong> engineering and<br />

management roles. Later, he was the manager <strong>of</strong><br />

product development engineering where he was<br />

responsible <strong>for</strong> building and managing the chipset<br />

development engineering gro<strong>up</strong>. Prior to working<br />

<strong>with</strong> the chipset gro<strong>up</strong>, he held a number <strong>of</strong> positions<br />

<strong>with</strong>in other product gro<strong>up</strong>s at AMD, gaining vast<br />

experience in various silicon development functions<br />

from design to manufacturing.<br />

Patrick Dorsey, senior director<br />

<strong>of</strong> product management at Xilinx,<br />

responsible <strong>for</strong> the overall product<br />

line management, development,<br />

and marketing <strong>for</strong> FPGAs, CPLDs,<br />

and EasyPath solutions. Dorsey<br />

has been involved in technology<br />

marketing and solutions development <strong>for</strong> more than<br />

18 years. He holds a B.S. in computer engineering<br />

and a Masters in business administration from the<br />

University <strong>of</strong> Michigan (Go Blue!).<br />

Yann Guillou leads <strong>3D</strong> and<br />

advanced packaging in the CTO<br />

and Strategic Planning Office at ST-<br />

Ericsson. Guillou began his career<br />

at CEA-LETI and then worked at<br />

STMicroelectronics and ST-NXP.<br />

He holds a MSc. in materials and<br />

nanotechnology from the National Institute <strong>of</strong><br />

Applied Sciences, and a Masters in Management <strong>of</strong><br />

Technology and Innovation from Grenoble Business<br />

School, France.<br />

Ka<strong>up</strong>pi Kujala is the senior<br />

technology manager at Nokia<br />

R&D. Kujala has worked at Nokia<br />

since 1999. Prior to that, he was a<br />

project engineer at VTI Technology.<br />

He holds a M.Sc. in materials<br />

science from Helsinki University <strong>of</strong><br />

Technology.<br />

N e w s l e t t e r o n 3 d i C , t s v , W l P & e m b e d d e d t e c h n o l o g i e s<br />

assembly process. “If this isn’t achieved, cost may<br />

be a potential ‘showstopper,” he cautions.<br />

“While there will be s<strong>up</strong>ply chain challenges,<br />

we’ll be working on them,” says Kujala. “Mobile<br />

products are extremely per<strong>for</strong>mance hungry and<br />

per<strong>for</strong>mance is the driver behind wide I/O. There<br />

is already consensus in the industry that wide I/O<br />

is needed. To fulfill that needed per<strong>for</strong>mance, the<br />

industry will make it happen.”<br />

Sally Cole Johnson <strong>for</strong> Yole Développement<br />

Matt Nowak is Qualcomm’s senior<br />

director <strong>of</strong> engineering in the<br />

VLSI Technology Gro<strong>up</strong> <strong>of</strong> their<br />

CDMA Division. His responsibilities<br />

include leadership <strong>of</strong> the Advanced<br />

Semiconductor and Packaging<br />

Technology Initiatives such as throughsilicon<br />

stacking, advanced memory technology, design<br />

<strong>for</strong> <strong>3D</strong>, spintronics, and “More than Moore” initiatives.<br />

He manages a combination <strong>of</strong> internal advanced<br />

development teams, s<strong>up</strong>plier JDPs, and consortia and<br />

university projects. He holds BS and Masters degrees<br />

in electrical engineering from Cornell University, has<br />

more than 30 years <strong>of</strong> semiconductor experience, and<br />

is a Senior Member <strong>of</strong> IEEE.<br />

Pete O’Neill is investigating the<br />

application <strong>of</strong> <strong>3D</strong> integration to<br />

Avago Technologies’ ASIC Products<br />

Division’s networking and computing<br />

products. His primary responsibilities<br />

concern test strategy and reliability<br />

screening. In 32 years in the IC<br />

units <strong>of</strong> Avago, Agilent Technologies, and Hewlett-<br />

Packard, O’Neill has also worked in the areas <strong>of</strong><br />

CMOS processing, SPICE modeling, reliability, and<br />

test equipment.<br />

Arif Rahman is a principal<br />

engineer and technology architect<br />

at Xilinx Inc., where he has<br />

incubated R&D programs, leading<br />

to successful technology transfer<br />

<strong>for</strong> commercialization. With more<br />

than 10 years’ experience in digital,<br />

mixed-signal, and sensor design, development, and<br />

s<strong>up</strong>ply chain evaluation, he has worked in all aspects<br />

<strong>of</strong> <strong>3D</strong> ICs. He holds a Ph.D. in electrical engineering<br />

from Massachusetts Institute <strong>of</strong> Technology and an<br />

MBA from Santa Clara University.<br />

Jeff Brighton is a TI Fellow and manages the<br />

CMOS <strong>3D</strong>IC technology development program<br />

<strong>for</strong> Texas Instruments. During more than 25 years<br />

at TI, Brighton has been a key technical leader in<br />

process development and volume ramp <strong>for</strong> more<br />

than 10 generations <strong>of</strong> CMOS technology. He<br />

helped pioneer TI’s flexible, internal and external<br />

manufacturing model <strong>for</strong> advanced CMOS<br />

technology and also directed TI’s 45nm and 28nm<br />

low power CMOS development programs prior to his<br />

role <strong>with</strong> TI’s <strong>3D</strong>IC program. He graduated from the<br />

University <strong>of</strong> Illinois at Urbana Champaign <strong>with</strong> a MS<br />

degree in electrical engineering.<br />

5


6<br />

N o v e M B e r 2 0 1 0 i s s u e n ° 1 7<br />

C o M P a N Y v i s i o N<br />

2- and 3-dimensional design alternatives<br />

<strong>for</strong> System- and IC Designers<br />

While process experts are confident to<br />

continue on the shrink-path <strong>for</strong> a few<br />

more generations, the challenging design<br />

requirements and costly manufacturing equipment<br />

triggered the search <strong>for</strong> alternatives to shrinking <strong>of</strong><br />

2-dimensional SoCs.<br />

The first viable 3-dimensional alternatives started<br />

to gain market share about five years ago. PoP<br />

(Package-on-Package) and SiP (System-in-<br />

Package) demonstrated space and/or power<br />

savings, compared to implementing the same<br />

functions in multiple 2-dimensional SoCs.<br />

To gain more from utilizing the 3rd dimension,<br />

leading edge companies focused on thinning<br />

wafers to less than 50 microns and started to<br />

interconnect bare dice <strong>with</strong> TSVs (Through Silicon<br />

Vias). This <strong>3D</strong>/TSV stacks were even faster, smaller<br />

and consumed less power than SiP solutions.<br />

As leading edge wafer foundries and OSATs<br />

(OutSourced Assembly and Test houses) engaged<br />

in the development <strong>of</strong> the necessary manufacturing<br />

flows and encouraged their equipment vendors<br />

to meet the demanding new requirements, it<br />

became clear that <strong>3D</strong>/TSV technology <strong>of</strong>fered<br />

many compelling benefits – but still required<br />

development ef<strong>for</strong>ts to become cost-effective in<br />

volume production. Also, to fully utilize the <strong>3D</strong>/TSV<br />

benefits, the individual dice need to be designed<br />

“<strong>3D</strong>-ready” <strong>with</strong> the TSVs and their drivers and<br />

receivers included in the layout, instead <strong>of</strong> the<br />

much larger I/O buffers and bonding pads.<br />

Facing these <strong>3D</strong> challenges, creative engineers<br />

developed a less demanding – interposer-based<br />

– alternative and called it “2½D”, indicating its<br />

place between 2D SoCs and <strong>3D</strong> stacked dice. A<br />

key advantage <strong>of</strong> the 2½D technology is that it can<br />

utilize flip-chip dice, mounted side by side on an<br />

interposer or face-to-face <strong>with</strong> an interposer in<br />

between.<br />

To give an overview <strong>of</strong> all these technologies, their<br />

benefits and trade-<strong>of</strong>f, Table 1 below shows in six<br />

columns major implementation alternatives IC-<br />

or system designers can choose from and applies<br />

five technical criteria and two business criteria<br />

to compare these technologies.<br />

The two “More Moore” alternatives<br />

on the left<br />

The first four technical criteria (speed, power,<br />

<strong>for</strong>m factors) are self-explanatory.<br />

The fifth criteria “Heterogeneous Technology<br />

Mix” refers to designs comprised <strong>of</strong> significant<br />

amounts <strong>of</strong> logic, large memories and/or analog /<br />

RF components, MEMS, sensors, etc.<br />

IC- and System-Implementation Alternatives (Courtesy <strong>of</strong> eda2asic)<br />

N e w s l e t t e r o n 3 d i C , t s v , W l P & e m b e d d e d t e c h n o l o g i e s<br />

eda2asic<br />

For the last 40 years we were able to double transistor counts <strong>of</strong> ICs every ~ 2 years and managed to follow Moore’s<br />

Law by shrinking feature sizes successfully. With every new process generation we achieved higher speed, lower<br />

power and even lower cost per function --- until recently.<br />

If implemented in separate ICs, every one <strong>of</strong> these<br />

functions can benefit from cost-effective, dedicated<br />

process technologies. This benefit also applies<br />

to all four “More than Moore” alternatives and is<br />

essential to produce highly integrated solutions<br />

cost-effectively.<br />

Applying this fifth technical criteria to one large<br />

SoC, the most common alternative today, shows<br />

that significant technical challenges arise. Despite<br />

very flexible and capable process technologies<br />

and design tools, the implementation <strong>of</strong> logic and<br />

memory and/or analog, is not as easy as dedicated<br />

processes can enable and <strong>of</strong>ten <strong>for</strong>ces relaxing <strong>of</strong><br />

specifications.<br />

First business criteria (Time to Pr<strong>of</strong>it): It gets<br />

increasingly difficult and time consuming to<br />

integrate all functions needed into one large SoC<br />

and manufacture the design cost-effectively in a<br />

universal process technology. Design iterations<br />

and yield enhancement ef<strong>for</strong>ts can further delay<br />

the product introduction, increase time to pr<strong>of</strong>it and<br />

reduce pr<strong>of</strong>it margins.<br />

Distributing the functions into multiple ICs allows<br />

more reuse, reduces the application-specific<br />

development ef<strong>for</strong>ts and helps to get to market –<br />

and pr<strong>of</strong>it faster.<br />

However, many applications need higher<br />

per<strong>for</strong>mance or don’t allow the power budget or<br />

space required <strong>for</strong> multiple SoCs.<br />

The second business criteria (NRE and Risk)<br />

is closely related <strong>with</strong> the first. As a consequence<br />

<strong>of</strong> increasing design complexity, the hardware<br />

development cost <strong>for</strong> one large SoC is increasing.<br />

So is the risk <strong>of</strong> functional failures at the first<br />

tape-out and additional mask cost as well as yield<br />

variations in production.<br />

The multiple SoCs alternative reduces the risk<br />

<strong>of</strong> failures and yield variations, but multiple SoCs<br />

may not meet the technical application criteria and<br />

the tooling cost <strong>for</strong> them can add <strong>up</strong> to a significant<br />

amount.<br />

The two proven “More than Moore”<br />

alternatives in the center<br />

The five technical criteria show that PoP and<br />

SiP alternatives can’t compete <strong>with</strong> the one large<br />

SoC alternative in regards to speed and power<br />

dissipation, but have a clear advantage if the


N o v e M B e r 2 0 1 0 i s s u e n ° 1 7<br />

2 ½ D Alternatives - Interposer/Substrate in RED (Courtesy <strong>of</strong> Paul D. Franzon, NCSU)<br />

design requires a mix <strong>of</strong> logic, memory and/or<br />

analog functions.<br />

While PoP and most likely also SiP quickly exceed<br />

the allowed package height, they are equal or<br />

better than multiple SoCs in regards to the other<br />

technical criteria.<br />

Both PoP and SiP have proven their benefits in<br />

regards to the two business criteria.<br />

MOLECULES TO BUILD ON<br />

Wet Deposition With<br />

S<strong>up</strong>erior Quality and Lower Cost<br />

The two emerging “More than Moore”<br />

alternatives on the right<br />

The technical criteria show the significant benefits<br />

<strong>of</strong> <strong>3D</strong>/TSV technology and where the interposerbased<br />

2½D alternative is equal to a large SoC<br />

and better than multiple SoCs.<br />

Alchimer provides nanometric fi lms <strong>for</strong> a variety <strong>of</strong> microelectronic and MEMS applications,<br />

including TSVs <strong>for</strong> <strong>3D</strong> packaging and wafer-level interconnects. We are partnering in<br />

the Japanese market <strong>with</strong> Nagase & Co., Ltd. <strong>for</strong> manufacturing, distribution and<br />

demonstration <strong>of</strong> our AquiVia suite <strong>of</strong> chemicals.<br />

ALCHIMER at SEMICON Japan<br />

Join us at Nagase’s booth, 7A-601, to hear how our wet deposition technology <strong>of</strong>fers<br />

cost advantages <strong>of</strong> <strong>up</strong> to 80 percent compared to dry processes, while delivering s<strong>up</strong>erior<br />

fi lm quality and shortening time to market.<br />

Also, please join Claudio Truzzi, Alchimer’s Chief Technology Offi cer, <strong>for</strong> his presentation,<br />

“An Integrated Wet-Process Solution to Isolate and Fill Through Silicon Vias”:<br />

Wednesday, Dec. 1, at 3:10 p.m. in Room 201, 2F,<br />

Int’l Conference Hall, Makuhari Messe.<br />

N e w s l e t t e r o n 3 d i C , t s v , W l P & e m b e d d e d t e c h n o l o g i e s<br />

The business criteria show that both emerging<br />

technologies are rapidly maturing and will<br />

complement their technical benefits <strong>with</strong> compelling<br />

value propositions.<br />

As mentioned at the beginning <strong>of</strong> this article, to<br />

fully benefits from the <strong>3D</strong>/TSV advantages, the<br />

dice need to be thinned and have a “<strong>3D</strong>-ready”<br />

layout <strong>with</strong> TSVs, but have the large I/O buffers and<br />

bonding pads removed to reduce area, silicon cost<br />

and power dissipation.<br />

www.eda2asic.info<br />

Herb Reiter, president <strong>of</strong><br />

eda2asic Consulting, Inc.,<br />

is an industry veteran <strong>with</strong><br />

20 years <strong>of</strong> semiconductor<br />

experience and 14 years <strong>of</strong><br />

providing high-productivity<br />

EDA tools, IP blocks,<br />

design services and the<br />

s<strong>up</strong>port <strong>of</strong> industry organizations to semiconductor<br />

vendors.<br />

Herb founded eda2asic in 2002 and focuses since<br />

2008 on chairing the GSA’s EDA Interest Gro<strong>up</strong><br />

and the <strong>3D</strong> Working Gro<strong>up</strong> to accelerate and<br />

broaden market acceptance <strong>of</strong> <strong>3D</strong>/TSV technology.<br />

Herb can be reached at herb@eda2asic.com.<br />

alchimer.com<br />

alchimer_micronews_1-2pgad_Nov22_3.indd 1 11/22/10 5:45 PM<br />

7


8<br />

N o v e M B e r 2 0 1 0 i s s u e n ° 1 7<br />

C o M P a N Y v i s i o N<br />

Stud bumping serves as TSV alternative<br />

<strong>for</strong> BSI image sensor in latest iPhone 4<br />

In the February 2010 Yole <strong>3D</strong> Packaging<br />

newsletter we discussed the advantages <strong>of</strong><br />

Xintec WL-CSP used by OmniVision/TSMC’s<br />

first back illuminated (BSI) image sensor. We were<br />

excited by the iPhone 4 announcement in June<br />

which included mention <strong>of</strong> a 5 Mp, 1.75 µm pixel<br />

pitch BSI camera module. Early speculation <strong>of</strong><br />

an OmniVision design win proved to be true and<br />

one surprising find from the reverse engineering<br />

analysis is yet another approach to BSI CIS<br />

package integration.<br />

The 5 Mp iPhone 4 camera module, which<br />

integrates an LED flash assembly, was assembled<br />

by LG Innotek. The module dimensions are 9.2 mm<br />

x 9.2 mm x 6.2 mm thick (excluding the LED flash).<br />

The large <strong>for</strong>m factor is a clue that CSP is not used<br />

<strong>for</strong> this device.<br />

The lens barrel is affixed to a ceramic chip carrier<br />

likely fabricated by Kyocera. Surface mount<br />

capacitors, a flip-chip mounted aut<strong>of</strong>ocus ASIC<br />

die, and a glass window are mounted to the front<br />

<strong>of</strong> the chip carrier, while a BSI image sensor die is<br />

seated in a cavity in the back. A die photograph <strong>of</strong><br />

the back, or light-receiving, surface appears similar<br />

to a typical front-illuminated sensor. Instead, in this<br />

implementation the ultra-thin BSI silicon substrate<br />

has been etched at the die edge allowing access to<br />

the back <strong>of</strong> the bond pads.<br />

A side view X-ray and schematic diagram show<br />

the ceramic chip carrier and BSI die configuration.<br />

Gold studs are used to connect the die bond pads<br />

to the chip carrier lands, while a die under fill<br />

material encapsulates the die periphery. This type<br />

<strong>of</strong> packaging <strong>for</strong> a CIS application has typically only<br />

been seen in some front-illuminated DSLR camera<br />

sensors.<br />

Tilt and cross-section SEM views show details <strong>of</strong><br />

the bonding region on the die. The final steps <strong>of</strong> the<br />

wafer process flow included opening windows in the<br />

dielectric stack over the bond pads. In this case, the<br />

N e w s l e t t e r o n 3 d i C , t s v , W l P & e m b e d d e d t e c h n o l o g i e s<br />

Chipworks Inc. recently opened the 5MPixel camera module from latest iPhone 4 <strong>of</strong> Apple. Yole and Chipworks had<br />

the chance to redact a join article analysing the possible reasons <strong>for</strong> the choice <strong>of</strong> stud bumping technology on<br />

ceramic carrier <strong>for</strong> the final packaging <strong>of</strong> Omnivision BSI image sensor.<br />

Apple iPhone 4 Rear Camera Module<br />

(Courtesy <strong>of</strong> Chipworks)<br />

Apple iPhone 4 Rear Camera BSI Image Sensor<br />

(Courtesy <strong>of</strong> Chipworks)<br />

bond pad metal is the back <strong>of</strong> the aluminum metal 2<br />

die interconnect. TSMC would have then shipped<br />

the wafers to the packaging house <strong>for</strong> dicing and<br />

<strong>for</strong>mation <strong>of</strong> the gold ball bonds and gold studs.<br />

While OmniVision/TSMC do have a TSV process <strong>for</strong><br />

BSI parts, the back bonding scheme has provided<br />

what is likely a higher yielding alternative that<br />

satisfied Apple’s specification. Additionallly, this<br />

approach enables the flexibility to also simply wire<br />

Apple iPhone 4 Rear Camera Die and Package X-Ray, Schematic<br />

(Courtesy <strong>of</strong> Chipworks)


N o v e M B e r 2 0 1 0 i s s u e n ° 1 7<br />

bond directly to the pads as we saw in the new 4th<br />

generation iPod Touch 0.7 Mp BSI camera module.<br />

In summary, <strong>with</strong> a little ingenuity CIS foundries and<br />

IDM’s need not take on the cost and complexities <strong>of</strong><br />

a TSV process <strong>for</strong> all applications. Contrasting the<br />

investment required <strong>for</strong> TSV integration in a 300 mm<br />

wafer process, these devices show what is possible<br />

using 200 mm wafer fabs and a depreciated wire<br />

bonding toolset. Given the low number <strong>of</strong> I/O’s and<br />

large pad pitch, BSI CIS represents a sweet spot <strong>for</strong><br />

gold stud bumping.<br />

www.chipworks.com<br />

www.yole.fr<br />

MaRKEt tREnDs<br />

contact us<br />

Jérôme Baron leads Yole’s<br />

MEMS and Advanced<br />

Packaging market research.<br />

He has been involved in the<br />

technology analysis <strong>of</strong> the <strong>3D</strong><br />

packaging market evolution<br />

at device, equipment, and<br />

material s<strong>up</strong>plier levels.<br />

Baron earned a MSc. Degree<br />

in Micro and Nanotechnologies from the National<br />

Institute <strong>of</strong> Applied Sciences in Lyon, France.<br />

N e w s l e t t e r o n 3 d i C , t s v , W l P & e m b e d d e d t e c h n o l o g i e s<br />

Apple iPhone 4 Rear Camera Die Bond Pad Region (Courtesy <strong>of</strong> Chipworks)<br />

CMOS Image Sensors<br />

Disr<strong>up</strong>tive technologies pave the way to the future <strong>of</strong> digital imaging industry!<br />

“… the reason why we are now releasing the first report on cMos<br />

image sensor industry is that we feel that we are at an historic<br />

turning point <strong>of</strong> this young, but still maturing industry. …” says<br />

Jérôme Baron, technology & Market analyst, MEMs & advanced<br />

Packaging.<br />

KEY FEatuREs<br />

the objectives <strong>of</strong> this first report are to provide:<br />

• Market data on CMOS image sensor key market metrics &<br />

dynamics: cMos image sensor unit shipments, revenues and<br />

wafer production by application, market shares <strong>with</strong> detailed<br />

breakdown <strong>for</strong> each player…<br />

• Key technical insight about future technology trends & challenges:<br />

from BsI and other front-end technologies evolution to WLc<br />

realization <strong>with</strong> wafer level optics, packaging / assembly & test…<br />

• A deep understanding <strong>of</strong> CIS value chain, infrastructure & players<br />

For more in<strong>for</strong>mation, feel free to contact David Jourdan:<br />

tel: +33 472 83 01 90, Email: jourdan@yole.fr<br />

technologies & Markets - 2010 Report<br />

CMOS Image Sensors Technology <strong>Dr</strong>ivers:<br />

New Challenges to face !<br />

• BSI (Backside illumination)<br />

• New color filters, AR coatings<br />

• Pixel isolation, substrate techno<br />

Front-end<br />

Packaging / Assembly<br />

• WLP (Wafer Level packaging)<br />

• <strong>3D</strong> TSV interconnects<br />

• Wafer Level Camera & Molding<br />

• HDR (Hide Dynamic Range)<br />

• eDoF (Extended Depth <strong>of</strong> Focus)<br />

• NIR (Near IR Capability)<br />

S<strong>of</strong>tware / Design<br />

Optical module<br />

• WLO (Wafer Level Optics)<br />

• Image stabilization (MEMS Inertial)<br />

• Auto-focus (Piezo, liquid lense, MEMS…)<br />

Ray Fontaine has been<br />

a process analyst at<br />

Chipworks since 2001,<br />

specializing in image<br />

sensors. He has authored<br />

and technically reviewed<br />

numerous image sensor<br />

process review (IPR)<br />

reports.<br />

Y O L E D É V E L O P P E M E N T<br />

Y O L E D É V E L O P P E M E N T<br />

Y O L E D É V E L O P P E M E N T<br />

9


10<br />

N o v e M B e r 2 0 1 0 i s s u e n ° 1 7<br />

C o M P a N Y v i s i o N<br />

<strong>Interview</strong> <strong>with</strong> <strong>Dr</strong>. <strong>William</strong> <strong>Chen</strong> <strong>of</strong> <strong>ASE</strong><br />

From page 1<br />

Yole Développement: So you joined <strong>ASE</strong> in<br />

2000. What are your job responsibilities in the<br />

<strong>ASE</strong> organization?<br />

Bill <strong>Chen</strong>: As <strong>ASE</strong> Fellow and Senior Technical<br />

Advisor, I have a broad portfolio <strong>of</strong> technology<br />

strategy, customer involvement, product promotion,<br />

and industry networking. I work <strong>with</strong> a small gro<strong>up</strong><br />

<strong>of</strong> senior experienced industry veterans. As a part<br />

<strong>of</strong> the <strong>ASE</strong> global sales and marketing organization,<br />

we have the opportunity to engage <strong>with</strong> the senior<br />

technical leaders in the global customer community<br />

while at the same time, have strong linkages deep<br />

into the manufacturing engineering and R&D<br />

organizations in the <strong>ASE</strong> family.<br />

YD: There are so many exciting things going on<br />

in Advanced Packaging today its hard to know<br />

where to begin. Certainly <strong>ASE</strong> has been deeply<br />

involved <strong>with</strong> scaling <strong>up</strong> the Infineon eWLB fan<br />

out technology can you share <strong>with</strong> us what’s<br />

been involved and where that stands?<br />

BC: <strong>ASE</strong> has been serving customers in WLCSP<br />

<strong>for</strong> over ten years. eWLB (fanout WLP) is the<br />

natural extension <strong>of</strong> our WLP service <strong>of</strong>ferings to<br />

customers. <strong>ASE</strong> was the first OSAT to collaborate<br />

<strong>with</strong> Infineon on eWLB , taking the technology<br />

successfully into high volume manufacturing<br />

production in April 2009. We put together a<br />

dedicated team to work <strong>with</strong> the Infineon team <strong>for</strong><br />

volume manufacturing implementation. There has<br />

been much learning on both sides. The yields have<br />

been steadily climbing above 97% and the goal <strong>of</strong><br />

99% is now well <strong>with</strong>in reach. The initial production<br />

has been focusing on single die fanout packages.<br />

Engineering development is ongoing <strong>with</strong> multiple<br />

customers focusing on the future generations <strong>of</strong> Fan<br />

out products, including 2D Multi-die and <strong>3D</strong> Double<br />

sided fan out packaging, incorporating additional<br />

features such as Integrated Passive components.<br />

YD: Amkor and TI have recently announced<br />

their advances in Cu Pillar technology. Can you<br />

share <strong>with</strong> us where this technology stands<br />

at <strong>ASE</strong> and what we can expect in the future ?<br />

What applications are requesting ? or are suited<br />

<strong>for</strong> this technology ?<br />

BC: As you well know, Intel has been in production<br />

<strong>with</strong> Cu Pillar <strong>for</strong> their microprocessor flip chip<br />

package <strong>for</strong> some years. Cu Pillar technology<br />

brings significant advancement over traditional<br />

solder bump technologies in flip chip packaging.<br />

It provides a lead free solution, improved<br />

electromigration per<strong>for</strong>mance, cost reduction <strong>of</strong><br />

the laminate substrates, and as a controlled stress<br />

environment <strong>for</strong> ULK dies. As a leader in advanced<br />

packaging innovation, <strong>ASE</strong> has been developing<br />

(Courtesy <strong>of</strong> <strong>ASE</strong> Gro<strong>up</strong>)<br />

N e w s l e t t e r o n 3 d i C , t s v , W l P & e m b e d d e d t e c h n o l o g i e s<br />

(Courtesy <strong>of</strong> <strong>ASE</strong> Gro<strong>up</strong>)<br />

an excellent set <strong>of</strong> packaging solutions in this<br />

area <strong>for</strong> our customers that include PC and mobile<br />

processor device makers.<br />

<strong>ASE</strong> has been working on Cu pillar technology <strong>for</strong><br />

several years and is working closely <strong>with</strong> a number<br />

<strong>of</strong> customers. The highest level <strong>of</strong> interest is in<br />

the area <strong>of</strong> mobile application processors , which<br />

drive integration and small package size. These<br />

applications need fine pitch i.e. slim pillars to shrink<br />

the pitch while allowing escape traces between the<br />

pillars. The later facilitates lower cost substrate<br />

technologies in FC CSP and thereby an overall cost<br />

effective package.<br />

YD: Looking at <strong>ASE</strong> integrated passives<br />

technology, how has customer acceptance<br />

been on this technology? Can you tell us where<br />

the focus has been application wise?<br />

BC: <strong>ASE</strong> is working <strong>with</strong> customers producing IPDs<br />

<strong>for</strong> integration into module package assemblies. IPDs<br />

are very well suited <strong>for</strong> the high levels <strong>of</strong> integration<br />

and miniaturization required <strong>for</strong> the next generation <strong>of</strong><br />

advanced modules. The most common application is<br />

the integration <strong>of</strong> various filters into RF applications.<br />

The incorporation <strong>of</strong> IPDs into Interposers, <strong>3D</strong><br />

packages, and Fanout packages is an important<br />

aspect <strong>of</strong> the <strong>ASE</strong>’s technology portfolio.<br />

YD: <strong>ASE</strong> has been a leader in bringing copper WB<br />

into the mainstream. Any issues <strong>with</strong> bringing<br />

<strong>up</strong> this technology? Any issues <strong>with</strong> customer<br />

acceptance? Can you tell us what % <strong>of</strong> your<br />

business you expect to switch over to copper WB?<br />

BC: You have hit the nail right on the head: technical<br />

challenges and customer acceptance. Changing<br />

from Au wire to Cu wire involves a whole set <strong>of</strong><br />

changes in materials, equipment, and manufacturing<br />

processes. It took a lot <strong>of</strong> hard work and<br />

commitment from the top management to process


N o v e M B e r 2 0 1 0 i s s u e n ° 1 7<br />

engineers, and manufacturing operators to make<br />

the Cu wirebond qualification and implementation<br />

seamless <strong>for</strong> customers. <strong>ASE</strong> actively worked on<br />

fine pitch Cu wire bond technology <strong>for</strong> a number<br />

<strong>of</strong> years be<strong>for</strong>e starting high volume production in<br />

September <strong>of</strong> 2008. With gold price escalating,<br />

there is real cost benefit <strong>for</strong> the customers across<br />

a broad spectrum <strong>of</strong> products. Many technical and<br />

manufacturing challenges were addressed one by<br />

one. This is indeed is a major step <strong>for</strong>ward <strong>for</strong> the<br />

industry. We are proud that we have won over many<br />

customers by providing them <strong>with</strong> solid reliability<br />

data and manufacturing track record. <strong>ASE</strong> will exit<br />

2010 having shipped approximately 2 billion units,<br />

<strong>with</strong> approximately 30% <strong>of</strong> our wirebond output<br />

allocated to copper. We expect the conversion rate<br />

to exceed 70% <strong>with</strong>in the next 2-3 years, and expect<br />

Au to be only a niche (


12<br />

N o v e M B e r 2 0 1 0 i s s u e n ° 1 7<br />

YD: Is <strong>ASE</strong> confident that testing protocols will<br />

be in time <strong>for</strong> initial product production?<br />

BC: Typically, the IC houses are responsible<br />

<strong>for</strong> test protocols. In this case, we will have SiP<br />

<strong>with</strong> processor and memory, <strong>with</strong> which we have<br />

good experience and knowledge. While test will<br />

be an important challenge, we are confident that<br />

working closely and early <strong>with</strong> our customers, and<br />

developing the test hardware and test protocols<br />

together in the whole development process we will<br />

be ready <strong>for</strong> production.<br />

YD: Qualcomm has publically stated that anything<br />

over a 15% premium <strong>for</strong> <strong>3D</strong> IC could be a deal<br />

breaker. Does <strong>ASE</strong> see this as being possible?<br />

What will it take to achieve these cost goals?<br />

BC: The total cost <strong>of</strong> the solution must be evaluated<br />

<strong>for</strong> each application. We are sure that Qualcomm<br />

has done a good study <strong>of</strong> the market and the front<br />

end and back end processes to come <strong>up</strong> <strong>with</strong> this<br />

15% premium <strong>for</strong> their own set <strong>of</strong> applications. The<br />

front end <strong>3D</strong>IC die <strong>with</strong> TSV <strong>for</strong>mation and backside<br />

processing steps will add to the throughput. The<br />

backend will have additional processing steps due<br />

to TSV expose and ultra fine pitch assembly. Both<br />

front and back end have thin wafer handling added<br />

to their processing. We believe the <strong>3D</strong>IC - TSV<br />

technology will be commercialized. The ability <strong>of</strong><br />

our technical community to collaborate on common<br />

manufacturable standards will certainly impact the<br />

final cost <strong>of</strong> the <strong>3D</strong> structures to the market.<br />

YD: Many <strong>of</strong> the recent roadmaps from foundries<br />

such as TSMC and UMC and assembly houses<br />

like <strong>ASE</strong>, SPIL, Amkor, STATS ChipPAC appear<br />

to agree that we will see interposers in the<br />

2010-2011 timeframe and full <strong>3D</strong> IC stacking in<br />

the late 2011 – 2012 timeframe. As <strong>of</strong> today is<br />

<strong>ASE</strong> standing by these predictions? Do you<br />

see these roadmaps as aggressive or realistic?<br />

BC: In <strong>ASE</strong> we design our roadmaps to be<br />

aggressively realistic. We are already actively<br />

engaging <strong>with</strong> key customers in both <strong>3D</strong> IC and silicon<br />

interposer. We position our roadmap <strong>for</strong>ecast to be<br />

in line <strong>with</strong> our readiness <strong>for</strong> customer engagement.<br />

Production schedules are determined by customers<br />

and their end user customers and highly influenced<br />

by the market.<br />

YD: Any other topics that our readers might be<br />

interested in?<br />

N e w s l e t t e r o n 3 d i C , t s v , W l P & e m b e d d e d t e c h n o l o g i e s<br />

BC: You have touched on most <strong>of</strong> the high pr<strong>of</strong>ile<br />

topics in our industry. While <strong>3D</strong>IC/TSV is the<br />

highest pr<strong>of</strong>ile technology in many people’s minds,<br />

let us not <strong>for</strong>get that electronics are ubiquitous,<br />

IC is not all CMOS, and innovation is needed<br />

everywhere. A prime example is <strong>ASE</strong>’s initiative on<br />

Cu wirebonding. We are working <strong>with</strong> customers<br />

on MEMS, and on heterogeneous integration <strong>with</strong><br />

different SiPs and modules. We are working on<br />

thin, low cost substrates. At the other end <strong>of</strong> the<br />

semiconductor spectrum are the low pin count<br />

IC’s and discretes. A co<strong>up</strong>le <strong>of</strong> years ago, <strong>ASE</strong><br />

entered the business to serve the low pin count IC<br />

and discrete customers in Weihai, China, and now<br />

we are well established in this area. We believe<br />

in technology and business model innovations to<br />

serve customers large and small across the globe.<br />

YD: Thanks so much <strong>for</strong> fielding these<br />

questions.<br />

BC: Thank you <strong>for</strong> bringing this discussion to your<br />

many readers.<br />

Phil Garrou – Sr Analyst<br />

Make plans to attend today ... 3-D Architectures<br />

<strong>for</strong> Semiconductor<br />

Integration and<br />

Packaging<br />

This conference provides a unique perspective <strong>of</strong> the technobusiness<br />

aspects <strong>of</strong> the emerging commercial opportunity<br />

<strong>of</strong>fered by 3-D integration and packaging—combining<br />

technology <strong>with</strong> business, research developments <strong>with</strong><br />

practical insights—to <strong>of</strong>fer industry leaders the in<strong>for</strong>mation<br />

needed to plan and move <strong>for</strong>ward <strong>with</strong> confidence.<br />

For more in<strong>for</strong>mation visit:<br />

http://techventure.rti.org<br />

<strong>ASE</strong> Silicon interposer prototype (Courtesy <strong>of</strong> <strong>ASE</strong> Gro<strong>up</strong>)<br />

Keys to Design, Manufacturing, and Markets<br />

8–10 December 2010<br />

Hyatt Regency San Francisco Airport Hotel<br />

Burlingame, Cali<strong>for</strong>nia


pub_movea_186X132:Mise N o v e M B e r 2 0 1 0 i sen s <strong>up</strong>age e n 1 ° 125/11/10 7 9:31 Page 3<br />

N e w s l e t t e r o n 3 d i C , t s v , W l P & e m b e d d e d t e c h n o l o g i e s<br />

REGISTER FOR LIVE WEBCAST TODAY<br />

Enabling next generation motion solutions<br />

<strong>for</strong> consumer electronics<br />

Join our webcast to examine the challenges faced by system integrators to develop products<br />

<strong>with</strong> advanced motion features.<br />

We will discuss the MotionIC plat<strong>for</strong>m, now available to help developers meet market demands<br />

<strong>with</strong>out having to deal <strong>with</strong> the complexities <strong>of</strong> sensor combination and motion processing.<br />

To learn more and to register, please go to<br />

www.i-micronews.com/webcast or click here.<br />

Sponsored by<br />

Hosted by<br />

C o M P a NCMA3000 Y v(Courtesy i s i <strong>of</strong> oVTI) N<br />

T<br />

he company is a leading s<strong>up</strong>plier <strong>of</strong><br />

acceleration, inclination and angular motion<br />

sensor solutions <strong>for</strong> transportation, medical,<br />

instrument and consumer electronics applications.<br />

VTI develops and produces silicon-based capacitive<br />

sensors using its proprietary <strong>3D</strong> MEMS (Micro<br />

Electro-Mechanical System) technology.<br />

In 2009 VTI was the first MEMS company to adopt<br />

Wafer Level Packaging in the world’s smallest and<br />

least power consuming three-axis acceleration<br />

sensor, the CMA3000, and the company has<br />

already announced that it will launch new MEMS<br />

solutions at Electronica 2010.<br />

Mr Anssi Korhonen, VTI Chief Technology Officer,<br />

was interviewed <strong>for</strong> the MEMS Trend Magazine.<br />

Yole Développement: VTI is one <strong>of</strong> the very few<br />

MEMS companies using a Through-Glass Vias<br />

technology <strong>for</strong> its 3-axis accelerometer. Why<br />

using glass wafers instead <strong>of</strong> Si?<br />

Anssi Korhonen : “We are actually using a silicon<br />

wafer and molten glass material <strong>for</strong> isolation <strong>of</strong><br />

TSVs. Benefits <strong>of</strong> the VTI cap wafer technology<br />

include good insulation and very low parasitic (stray)<br />

capacitance. Glass, on the other hand, provides<br />

planar surface and reliable bonding interface to<br />

the structural wafer. Also, glass is very inexpensive<br />

starting material”, Mr. Korhonen explains.<br />

YD: There are different ways to do TGV. What<br />

makes the VTI technology specific?<br />

AK: “The process is VTI proprietary technology. We<br />

avoid using plating processes in <strong>for</strong>ming the vias. It is<br />

compatible <strong>for</strong> wafer level processing although needs<br />

some specific equipment. Currently we are satisfied<br />

<strong>with</strong> the via resistance in the tens <strong>of</strong> ohms range.”<br />

YD: Is VTI Technologies planning to use its TGV<br />

AK: “The technology in its initial <strong>for</strong>m (planar<br />

isolation and one via) has been in use since 1984.<br />

In the late 90’s due to requirements by multi-axis<br />

accelerometers and gyros we added the capability<br />

<strong>for</strong> a multitude <strong>of</strong> vias. More recently this technology<br />

has been developing <strong>for</strong> finer pad pitch and size by<br />

Register Today<br />

to Explore the MotionIC plat<strong>for</strong>m<br />

When: Wednesday, December 15<br />

8:00 AM PST<br />

Speakers:<br />

• Bruno Flament, CTO, Movea<br />

• Tim Kelliher, Customer Solutions Architect, Movea<br />

• Jean-Christophe Eloy, CEO, Yole Développement<br />

The MEMS pioneer VTI relies on its proprietary<br />

<strong>3D</strong> MEMS technology<br />

VTI Technologies can be considered as a pioneer in MEMS <strong>for</strong> the past 20 years.<br />

CMA3000 (Courtesy <strong>of</strong> VTI)<br />

utilizing dry etching <strong>of</strong> silicon instead <strong>of</strong> mechanical<br />

machining. The process is scalable <strong>for</strong> larger wafer<br />

sizes. It is used <strong>for</strong> all VTI MEMS designs.”<br />

YD: VTI has recently achieved the smallest<br />

accelerometer on the market (2x2 mm²). Do you<br />

plan to go even smaller?<br />

AK: “Smallest size components can be achieved<br />

<strong>with</strong> the Wafer Level Packaging (WLP) technology,<br />

which is close to WLCSP technology that has<br />

received wide acceptance in the market. VTI WLP<br />

goes one step further by flip chip attaching ASIC on<br />

the MEMS sensing element.”<br />

“Further size reduction is possible and restricted to<br />

specific MEMS or ASIC design requirements, not so<br />

much on packaging technology”, Mr. Antti Korhonen<br />

concludes.<br />

www.vti.fi<br />

Mr. Anssi Korhonen, M.Sc. in<br />

electrical engineering, has worked<br />

as Chief Technology Officer <strong>for</strong><br />

VTI Technologies since 2008.<br />

He has worked <strong>for</strong> electronics<br />

manufacturing services industry<br />

since 15 years.<br />

13


14<br />

N o v e M B e r 2 0 1 0 i s s u e n ° 1 7<br />

C o M P a N Y v i s i o N<br />

SET makes strides to enable<br />

<strong>3D</strong> Integration <strong>with</strong> high precision Chip-to-Chip<br />

and Chip-to-Wafer bonding<br />

SET collaborates <strong>with</strong> CEA-LETI, STMicroelectronics,<br />

ALES and the CEMES-CNRS on advanced chipto-wafer<br />

technologies (direct metallic bonding)<br />

<strong>for</strong> <strong>3D</strong> integration: history & content .<br />

Direct copper-to-copper bonding requires a good<br />

planarity and excellent surface quality especially in<br />

terms <strong>of</strong> both particulate and metallic contamination.<br />

The low roughness <strong>of</strong> the copper pillars and pad as<br />

well as the topology between the copper and oxide<br />

areas are critical to obtain good bond at low <strong>for</strong>ce<br />

and room temperature. The process is developed<br />

by CEA-LETI. ALES is s<strong>up</strong>porting some specific<br />

developments <strong>for</strong> the surface preparation. The<br />

CEMES-CNRS characterises the bond quality<br />

especially concerning the copper structure evolution<br />

<strong>up</strong>on annealing. STMicroelectronics is driving the<br />

application <strong>of</strong> this technology <strong>for</strong> the high density <strong>3D</strong><br />

integration.<br />

SET has developed a “clean” FC300 enabling Dieto-Wafer<br />

direct bonding at high yield. The machine<br />

operates at room temperature. Special care has<br />

been taken <strong>for</strong> cabling in order to reduce drastically<br />

the particle generation. The clean environment<br />

inside the machine housing protects the wafer<br />

surface while it is fully populated <strong>with</strong> dice.<br />

What are the advantages <strong>of</strong> this technology<br />

compares to conventional thermo-compression<br />

bonding?<br />

SET is very much interested by this direct metal-tometal<br />

bonding which enables fast placement <strong>for</strong> <strong>3D</strong>-<br />

IC. It is per<strong>for</strong>med at low <strong>for</strong>ce and room temperature<br />

which is advantageous <strong>for</strong> high density interconnect<br />

applications requiring high accuracy placement as<br />

we do avoid temperature expansion problem. To<br />

ensure void-free bonding, the die placement must be<br />

carried out in a particle-free environment.<br />

JEMSIP-<strong>3D</strong>: project based on the development<br />

<strong>of</strong> a High speed bonder required <strong>for</strong> the high<br />

volume production <strong>of</strong> <strong>3D</strong> devices using the TSV<br />

technology.<br />

Inside view <strong>of</strong> the FC300 <strong>with</strong> direct metallic<br />

bonding configuration<br />

SET has entered the JEMSiP-<strong>3D</strong> project to develop<br />

a high accuracy, high speed die bonder <strong>for</strong> the<br />

production <strong>of</strong> devices using <strong>3D</strong> technology <strong>with</strong> high<br />

density TSV. The goal is to introduce a die-to-wafer<br />

bonder <strong>with</strong> submicron placement accuracy <strong>with</strong><br />

stacking capability compatible <strong>with</strong> “face-to-face” or<br />

“face-to-back” alignment. A 2-Step approach <strong>with</strong><br />

individual placement followed by a global bonding<br />

sequence is favoured.<br />

Semi-open confinement substrate <strong>for</strong> the FC150<br />

Semi-open confinement chamber <strong>for</strong> oxide<br />

removal: principle & advantages.<br />

Cu-based systems have become a major focus<br />

as an interconnect material <strong>for</strong> <strong>3D</strong> integration. Cu<br />

surfaces are bonded together using either die-todie<br />

(D2D), die-to-wafer (D2W), or wafer-to-wafer<br />

(W2W) bonding. The oxides present at the Cu<br />

surfaces compromise results <strong>of</strong> thermocompression<br />

bonding. To achieve high-quality and reliable<br />

bonding, a controlled environment preventing oxide<br />

<strong>for</strong>mation during the bonding sequence is required;<br />

it is also necessary to remove the oxide that might<br />

be present be<strong>for</strong>e bonding. Mechanical scrubbing<br />

cannot be used when submicron accuracy is<br />

needed; there<strong>for</strong>e SET has developed the semiopen<br />

confinement chamber to enable chemical<br />

oxide removal <strong>with</strong>out jeopardizing the final<br />

placement accuracy. The chamber can be used <strong>with</strong><br />

<strong>for</strong>ming gas, but efficiency <strong>of</strong> the oxide reduction is<br />

significantly increased by using <strong>for</strong>mic acid vapour.<br />

The semi-open confinement chamber includes a<br />

substrate chuck and a bond head <strong>with</strong> a non-contact<br />

localized confinement which operates safely <strong>with</strong><br />

reducing gases such as <strong>for</strong>ming gas or <strong>for</strong>mic acid<br />

vapour. To preserve the standard capabilities <strong>of</strong><br />

SET’s bonding tools and especially the low contact<br />

<strong>for</strong>ce measurement applied to the components,<br />

the “Semi-Open” Confinement Chamber has no<br />

hardware sealing. A non-contact virtual seal <strong>of</strong> the<br />

micro-chamber enables gas confinement <strong>for</strong> chipto-chip<br />

or chip-to-wafer bonding under controlled<br />

atmosphere. This ensures gas collection and<br />

N e w s l e t t e r o n 3 d i C , t s v , W l P & e m b e d d e d t e c h n o l o g i e s<br />

prevents oxygen intrusion while preserving the<br />

alignment <strong>of</strong> the device <strong>with</strong> respect to its substrate.<br />

Consequently, it ensures an excellent wetting and<br />

a higher quality <strong>of</strong> solder joints at reduced bonding<br />

<strong>for</strong>ces and temperatures as well as higher yield as<br />

no cleaning step is required.<br />

With the confinement chamber, the process gas is<br />

injected through horizontal nozzles aimed at the<br />

device being bonded. An exhaust ring removes the<br />

process gas from the micro-chamber and sends it<br />

into the gas exhaust line, keeping the gas out <strong>of</strong> the<br />

machine and the clean room. A nitrogen curtain is<br />

<strong>for</strong>med around the exhaust, ensuring that ambient<br />

air is not entrained into the micro-chamber by the<br />

Venturi effect, while a deflector attached to the bond<br />

head creates the confined micro-chamber. The<br />

wafer acts as the deflector <strong>for</strong> D2W configuration<br />

when the chamber is attached to the bond head.<br />

Yole Développement understands that SET<br />

mainly works on accurate placement. What<br />

is SET’s market positioning <strong>with</strong> respect to<br />

placement accuracy? What are the trades-<strong>of</strong>fs<br />

being made to achieve such levels <strong>of</strong> accuracy?<br />

For over 30 years, SET has been involved in high<br />

accuracy applications such as the hybridization<br />

<strong>of</strong> infrared focal plane arrays and the assembly<br />

<strong>of</strong> optoelectronics components required <strong>for</strong> high<br />

bandwidth telecommunication. Both applications<br />

require placement <strong>with</strong>in a micron or better.<br />

Optoelectronics typically involves components<br />

ranging from a few hundred microns in size to a few<br />

millimetres, whereas the infrared focal plane arrays<br />

can be as large as 100 millimetres. <strong>3D</strong> integration<br />

<strong>with</strong> high-density TSV’s requires submicron [or<br />

FC300 submicron high <strong>for</strong>ce die bonder


N o v e M B e r 2 0 1 0 i s s u e n ° 1 7<br />

“highly accurate”] bonding, consistent <strong>with</strong> the<br />

accuracy historically required by the IR FPA devices.<br />

The primary difference between these two markets<br />

is the need <strong>for</strong> much higher throughput; production<br />

<strong>of</strong> IR FPA’s may be limited to a few tens <strong>of</strong> devices/<br />

day due to extremely long bonding times, while<br />

consumer applications <strong>of</strong> <strong>3D</strong> IC may require several<br />

thousand bonds/hour. These high throughputs are<br />

available on some production bonders, but not at<br />

the accuracy or process conditions required by<br />

most <strong>3D</strong> bonding schemes. SET <strong>of</strong>fers a tool <strong>for</strong><br />

submicron bonding on 300mm wafers, but <strong>with</strong> a<br />

throughput <strong>of</strong> only a few hundred units/hour. SET<br />

will continue to deliver a high accuracy tool <strong>for</strong><br />

<strong>3D</strong> development and lower volume applications,<br />

concurrent to developing a tool <strong>with</strong> throughputs<br />

to meet high volume consumer applications. While<br />

MARKET TRENDS<br />

KEY FEatuREs<br />

Cross section <strong>of</strong> three chips stack<br />

many bonding schemes are being investigated<br />

around the world <strong>for</strong> <strong>3D</strong> devices, a clear winner<br />

has not yet emerged and so process flexibility is<br />

still a critical feature. Commercialization <strong>of</strong> <strong>3D</strong><br />

integration is expected to begin perhaps as early<br />

as 2012, <strong>with</strong> higher volume applications ramping<br />

<strong>up</strong> after that.<br />

Several tool designs to meet these market needs are<br />

on the drawing boards at SET, always <strong>with</strong> an eye to<br />

meeting the process and throughput requirements<br />

<strong>of</strong> emerging market segments. As noted earlier in<br />

Populated wafer – Courtesy <strong>of</strong> IMEC<br />

N e w s l e t t e r o n 3 d i C , t s v , W l P & e m b e d d e d t e c h n o l o g i e s<br />

<strong>3D</strong> Glass & Silicon Interposers<br />

“These players, in search <strong>of</strong> growth opportunities, have positioned<br />

as service providers <strong>for</strong> the back-end operations <strong>for</strong> the making <strong>of</strong><br />

through silicon vias (TSV’s) and other related wafer-level assembly<br />

operations, explains Jean-Marc Yannou, Project Manager at Yole<br />

Développement.Thanks to <strong>3D</strong> glass / silicon interposers, they can<br />

go one step further, and actually propose products combined <strong>with</strong><br />

their service <strong>of</strong>fer.”…<br />

• Detailed account <strong>of</strong> all the application fields <strong>of</strong> <strong>3D</strong> interposers<br />

• <strong>Dr</strong>ivers and expected benefits by application<br />

• Comparison <strong>with</strong> technology alternatives and likeliness<br />

<strong>of</strong> <strong>3D</strong> interposer penetration by application<br />

• Market trends and figures<br />

• Analysis <strong>of</strong> target cost structure <strong>for</strong> a few key applications<br />

• S<strong>up</strong>ply chain analysis <strong>for</strong> the commercialization <strong>of</strong> <strong>3D</strong><br />

interposers<br />

contact us<br />

For more in<strong>for</strong>mation, feel free to contact David Jourdan:<br />

tel: +33 472 83 01 90, Email: jourdan@yole.fr<br />

Myth, niche or high volume necessity?<br />

this article, a 2-step approach <strong>with</strong> individual die<br />

placement followed by global bonding captures the<br />

best features <strong>of</strong> D2W and W2W bonding schemes;<br />

this method is being characterized to identify best<br />

practices <strong>for</strong> pre-attachment. While submicron<br />

alignment and positioning <strong>of</strong> stages and bonding<br />

arms will continue to occ<strong>up</strong>y a significant portion<br />

<strong>of</strong> the machine overhead, bonding materials and<br />

processes which reduce the temperature and <strong>for</strong>ce<br />

requirements will likely play a key role in increasing<br />

the throughput <strong>for</strong> <strong>3D</strong> applications. For this<br />

reason, molecular bonding, per<strong>for</strong>med at modest<br />

temperatures and <strong>for</strong>ces, is <strong>of</strong> great interest.<br />

Similarly, polymer bonding is under investigation<br />

at IMEC, where SET is partnering <strong>with</strong> the institute<br />

to develop <strong>3D</strong> processes using accurate die<br />

placement followed by collective bonding in a wafer<br />

bonder.<br />

Y O L E D É V E L O P P E M E N T<br />

www.set-sas.fr<br />

Y O L E D É V E L O P P E M E N T<br />

Y O L E D É V E L O P P E M E N T<br />

15


16<br />

N o v e M B e r 2 0 1 0 i s s u e n ° 1 7<br />

C o M P a N Y v i s i o N<br />

Reverse Costing : Analysis <strong>of</strong> Infineon’s eWLB<br />

System Plus Consulting presents in exclusivity some extracts from their recent analysis<br />

<strong>of</strong> the Fan-Out Wafer Level BGA package from Infineon.<br />

The eWLB (enhanced Wafer Level BGA) is the<br />

first Fan-Out BGA package available on the<br />

market.<br />

Package is adapted to the desired pitch,<br />

independently <strong>of</strong> die size, lowering the constraints<br />

on the PCB.<br />

eWLB technology has been developed by Infineon,<br />

and licensed to <strong>ASE</strong>, STATS ChipPAC and Nanium.<br />

These last 2 companies are the first to propose this<br />

technology using 300mm wafers.<br />

This package is produced since 2009 and is used<br />

in baseband SoCs: the Infineon X-GOLD 113 or<br />

116 (GSM baseband) and 213 (EDGE baseband)<br />

were among the first components to use this<br />

packaging technology.<br />

eWLB packaging technology has several<br />

advantages over alternative approaches like fan-in<br />

WLCSP or flipchip BGA:<br />

• A smaller footprint and a lower thickness than<br />

BGA<br />

• A better reliability than small pitch fan-in CSP<br />

• Lower thermal resistance<br />

• Possibility to have multiple dies in the same<br />

package (SiP)<br />

• No substrate, so a simplified s<strong>up</strong>ply chain<br />

Packaging process<br />

The technology is based on a carrier on which the<br />

dies are individually placed to <strong>for</strong>m a reconstituted<br />

wafer. The wafer is then molded and the carrier<br />

removed. One or 2 distribution layers are deposited<br />

be<strong>for</strong>e bumping and singulation.<br />

Wafer reconstitution and wafer molding:<br />

• Lamination <strong>of</strong> adhesive film onto steel carrier<br />

wafer<br />

• Chip placement (pick and place equipment)<br />

• Wafer molding (epoxy)<br />

• De-bonding <strong>of</strong> carrier wafer<br />

Redistribution layer:<br />

• First dielectric coating and development<br />

• Copper deposition and pattern<br />

• Second dielectric coating and development<br />

Ball drop, reflow and singulation:<br />

• Thin tin layer deposition<br />

• Ball dropping and reflow<br />

• Final test<br />

• Dicing<br />

Inside Technology<br />

As can be seen from the X-ray picture, the die<br />

(darker area) is not centered in the package. The<br />

area ratio is around 2.5 <strong>for</strong> this 209 balls, 8x8 mm<br />

package <strong>with</strong> a 0.5mm pitch.<br />

In this first generation <strong>of</strong> eWLP, only one redistribution<br />

layer is used to route the die pads to the package<br />

balls.<br />

N e w s l e t t e r o n 3 d i C , t s v , W l P & e m b e d d e d t e c h n o l o g i e s<br />

Large octagonal aluminum pads are used to<br />

connect <strong>with</strong> the vias <strong>of</strong> the redistribution metal<br />

layer. This is to prevent from misalignment due to<br />

“die shift issue” during curing.<br />

The CMOS process is standard <strong>up</strong> to passivation.<br />

Cost analysis<br />

The cost analysis per<strong>for</strong>med on this package<br />

showed that in 2010 the manufacturing cost is<br />

slightly higher than equivalent flip-chip BGA.<br />

47%<br />

3%<br />

28%<br />

22%<br />

Depreciation Cost<br />

Manufacturing Cost<br />

Labor Cost<br />

Yield Losses


N o v e M B e r 2 0 1 0 i s s u e n ° 1 7<br />

But there are several cost gains factors:<br />

• Improvement <strong>of</strong> packaging yield, a critical parameter <strong>for</strong> expensive<br />

SoC dies<br />

• Removing <strong>of</strong> the temporary bonding step used to reduce the risk<br />

or warping<br />

• Amortization <strong>of</strong> the specific equipments required by this process<br />

• Manufacturing on 300mm wafers<br />

Simulations done <strong>with</strong> these scenarios provide very competitive<br />

results.<br />

With eWLB packaging technology in high volume production, the<br />

manufacturers are preparing the next generation:<br />

• Integration <strong>of</strong> passive components<br />

• Multi-metal layer redistribution<br />

• Side by side dies<br />

• Reduced thickness<br />

<strong>3D</strong> packaging <strong>with</strong> two-side redistribution and TMV is also being<br />

developed but the future yield <strong>of</strong> this approach is still difficult to<br />

estimate.<br />

The amounts invested by Nanium and STATS ChipPAC in production<br />

lines and R&D <strong>for</strong> eWLB prove that this technology is already a<br />

serious alternative, <strong>with</strong> applications extending outside mobile phones<br />

to many consumer products.<br />

Michel Allain, System Plus Consulting<br />

Recent Reverse Costing Reports<br />

• Semisouth SiC JFET<br />

- Physical Analysis <strong>of</strong> the Device<br />

- Step by Step Reconstruction<br />

<strong>of</strong> the Process Flow<br />

- Cost <strong>of</strong> Manufacturing & Estimation<br />

<strong>of</strong> Selling Price<br />

• Discera 8002 MEMS Oscillator<br />

• AKM AK8973S 3-Axis Compass<br />

• LEDs from Cree, Nichia, Lumileds, Acriche…<br />

System Plus Consulting develops Costing Tools and<br />

per<strong>for</strong>ms on demand Reverse Costing studies <strong>of</strong><br />

Semiconductors (from Integrated Circuits to Power<br />

Devices, from Single Chip Packages to MEMS and<br />

MultiChip Modules) & <strong>of</strong> Electronic Boards and Systems.<br />

Please contact System Plus Consulting:<br />

www.systemplus.fr<br />

N e w s l e t t e r o n 3 d i C , t s v , W l P & e m b e d d e d t e c h n o l o g i e s<br />

SOLUTIONS FOR<br />

MEMS<br />

PROCESSES<br />

Lithography, spray coating,<br />

top/bottom alignment<br />

Nano imprint lithography and<br />

hot embossing<br />

<strong>3D</strong> integration and wafer level<br />

packaging<br />

17


18<br />

N o v e M B e r 2 0 1 0 i s s u e n ° 1 7<br />

C o M P a N Y v i s i o N<br />

Freescale Semiconductor answers<br />

Yole Développement questions about RCP<br />

technology status<br />

Yole Développement: Could you introduce our<br />

readers about your recent announcement <strong>with</strong><br />

NEPES on 300mm RCP agreement? Could you<br />

comment on the choice <strong>of</strong> NEPES as a key<br />

strategic partner?<br />

Navjot Chhabra: Freescale Semiconductor began<br />

work on the RCP technology in 2003. In Q3 2006<br />

Freescale made a decision to commercialize the<br />

technology based on the maturity <strong>of</strong> its research and<br />

development activity. Up to that point, most <strong>of</strong> the<br />

R&D work was being done on an eight inch <strong>for</strong>mat<br />

and was based on financial and capacity models<br />

as market analysis. It was determined that a larger<br />

<strong>for</strong>mat would be required to allow this technology to<br />

be competitive, especially <strong>for</strong> consumer packages<br />

and eventually multi-die systems. Ideally, a square/<br />

rectangle <strong>for</strong>mat <strong>with</strong> panel sizes greater than<br />

400- 500mm would be ideal, however Freescale<br />

decided to move initially to a 300mm round <strong>for</strong>mat<br />

to minimize tooling cost and customization. We felt it<br />

was important to develop a fully automated tool set<br />

<strong>with</strong> similar ‘fab like’ technologies <strong>with</strong> an assembly<br />

cost structure and yield expectations.<br />

Freescale picked Nepes <strong>for</strong> a number <strong>of</strong> reasons.<br />

They continue to be very aggressive in serving<br />

a growing market. They bring to the table<br />

complementary technology and capability <strong>with</strong> a<br />

common goal <strong>of</strong> providing customers <strong>with</strong> new and<br />

enabling technology. Nepes has been providing<br />

200mm Flip chip bumping services since 2000 in<br />

Korea and providing 300mm Flip chip bumping<br />

services in Singapore since 2005. Nepes was<br />

looking to extend their product <strong>of</strong>ferings in the<br />

wafer level packaging area <strong>with</strong> its high volume<br />

bumping production <strong>for</strong> 65nm/45nm devices (both<br />

leadfree and eutectic), WLCSP and the recent 50um<br />

pitch micro bump. With well matched capabilities<br />

and a 300mm toolset, this turned out to be a winwin<br />

collaboration <strong>for</strong> both Freescale and Nepes to<br />

commercialize the RCP technology and enable us to<br />

penetrate both existing and new markets.<br />

YD: Do you plan to license RCP to additional<br />

companies in the month to come?<br />

NC: We are not planning any additional<br />

announcements related to licensing <strong>of</strong> RCP in the<br />

next few months. We are very focused on getting<br />

RCP fully transferred and qualified at Nepes. Over<br />

the longer term, we absolutely desire to see RCP<br />

proliferate in the industry.<br />

YD: What are the key motivations and<br />

applications driving the commercialization <strong>of</strong><br />

Fan-out Wafer-level-packages?<br />

NC: We see a broad set <strong>of</strong> requirements <strong>for</strong> the RCP<br />

Fan-out wafer level packaging technology. Interest<br />

is coming from multiple customers and industries.<br />

Having a 300mm plat<strong>for</strong>m can drive very low costs<br />

in both small and large body sizes, <strong>with</strong> multiple<br />

layers <strong>of</strong> redistribution allowing <strong>for</strong> a broad range<br />

<strong>of</strong> integration schemes. For those customers<br />

migrating to consumer based, flip-chip packages,<br />

the RCP solution provides a compelling alternative.<br />

A significant number <strong>of</strong> companies are evaluating<br />

2D systems integrating between two to four die<br />

along <strong>with</strong> a number <strong>of</strong> surface mounted devices<br />

(SMD’s). Where space constraints are critical, a<br />

number <strong>of</strong> customers are designing and evaluating<br />

<strong>3D</strong> RCP packages. What is exciting about this<br />

technology is the level <strong>of</strong> flexibility it provides the<br />

customer and ability to provide specific solutions.<br />

Significant per<strong>for</strong>mance, size and flexibility is<br />

gained <strong>with</strong> the ability to integrate sensors and other<br />

N e w s l e t t e r o n 3 d i C , t s v , W l P & e m b e d d e d t e c h n o l o g i e s<br />

Yole Développement had the pleasure to interview Navjot Chhabra, Redistributed Chip Packaging R&D and Operations<br />

Manager, Packaging Solutions Development, Freescale Semiconductor.<br />

Reliability, Configuration,<br />

Components<br />

Cellular<br />

Products<br />

Wireless<br />

pplications<br />

Consumer<br />

electronics Networking<br />

heterogeneous IC’s. In most cases customers see<br />

this technology as a way to differentiate themselves<br />

from their competition.<br />

YD: Infineon seems to experience a lot <strong>of</strong><br />

success in licensing its eWLB packaging<br />

technology: could you explain what is the<br />

main difference between eWLB and RCP from<br />

a manufacturing stand-point? Is it an issue to<br />

have multiple Fan-out Wafer-level-packaging<br />

technologies co-existing on the worldwide<br />

packaging IP landscape?<br />

NC: The technologies are very similar in that the<br />

customer will see a pin <strong>for</strong> pin compatible package.<br />

The differences come in the features the technology<br />

<strong>of</strong>fers. In the table provided is list <strong>of</strong> attributes and<br />

requirements customers are looking <strong>for</strong> <strong>with</strong> respect<br />

to this technology. Clearly the entry point is to<br />

In Chassie<br />

Automotive<br />

In Dash<br />

Automotive<br />

Aerospace<br />

& Defense<br />

Consumer level certification X X X X X<br />

Industrial level certification X X X X X X<br />

Automotive level certification X<br />

Robotics Medical<br />

Medical level certification X<br />

Single<br />

Die FO-WLP<br />

X X X X X<br />

2D Multi-die FO-WLP X X X X X X X X X<br />

< 6x6mm Package size<br />

(as small as 2x2mm)<br />

6x6mm – 13x13mm<br />

Package size<br />

X X X<br />

X X X X X X X X X<br />

> 13x13mm Package size<br />

(<strong>up</strong> to 40x40mm)<br />

X X X X X X X<br />

FO-WLP PoP X X X X<br />

Stacked <strong>3D</strong> Multi-die<br />

FO-WLP<br />

X X X X X<br />

<strong>3D</strong> Integrated FO-WLP X X X X X X X<br />

<strong>3D</strong> IC <strong>with</strong> <strong>3D</strong> FO-WLP<br />

integrated system<br />

X X X<br />

MEMS / Sensor Integration X X X X X X<br />

SMD’s (Capacitors, Inductors,<br />

Oscillators, etc)<br />

X X X X X X X X<br />

Memory (DDR, NVM, MRAM) X X X X X X X X<br />

<strong>3D</strong> FO-WLP Photonic Module X X X<br />

Radar X X X<br />

High Power / Thermal<br />

Management<br />

X X X<br />

Redistribution Layers 1 to 4 1 to 4 2 to 4 2 to 6 2 to 4 2 to 4 2 to 6 2 to 6 2 to 4<br />

Volumetric space sensitive X X X<br />

Requirements and features by industry and application <strong>for</strong> Redistributed Chip Packaging technology (RCP)<br />

(Courtesy <strong>of</strong> Freescale)


N o v e M B e r 2 0 1 0 i s s u e n ° 1 7<br />

s<strong>up</strong>port a single die Fan-out package however this<br />

is only the beginning. To enable a ‘game changing’<br />

solution <strong>for</strong> customers, we need to be able to provide<br />

very flexible building blocks <strong>of</strong>f the same fanout<br />

plat<strong>for</strong>m. The question will be how robust the<br />

plat<strong>for</strong>m is to s<strong>up</strong>port these needs.<br />

YD: What are the challenges to face <strong>for</strong> next<br />

generation FO WLP based on multi-die, doubleside<br />

RDL, <strong>3D</strong> vias and, eventually, based on<br />

Panels?<br />

NC: Freescale has made good progress in developing<br />

and qualifying various RCP building blocks and<br />

plat<strong>for</strong>ms to allow a diverse range <strong>of</strong> configurations<br />

and applications to be realized. Overcoming the<br />

challenges <strong>of</strong> processing on 300mm <strong>with</strong> multiple<br />

layers <strong>of</strong> routing has put us in a good position to<br />

develop a diverse range <strong>of</strong> integration schemes. We<br />

are continuing to work <strong>with</strong> multiple customers to find<br />

new ways to exploit this technology.<br />

Listed below are some <strong>of</strong> the challenges we have<br />

to solve. From a RCP technology perspective, we<br />

have qualified to commercial and industrial levels.<br />

Getting to multi-die systems (2D) require anywhere<br />

from two to six RDL layers, which can also be done<br />

in RCP <strong>with</strong>out assembly, die drift, yield and warping<br />

issues. Multi-die packages have new requirements<br />

KEY FEATURES<br />

This report provides a complete teardown including:<br />

• Detailed photos<br />

• Material analysis<br />

• Schematic assembly description<br />

• Manufacturing Process Flow<br />

• In-depth economical analysis<br />

• Manufacturing cost breakdown<br />

• Selling price estimation<br />

but mostly in developing the infrastructure to s<strong>up</strong>port<br />

this capability. Ef<strong>for</strong>t and activities are underway in<br />

developing these solutions.<br />

• Infrastructure development challenges:<br />

- S<strong>up</strong>ply chain and die management<br />

- System architecture<br />

- 2D and <strong>3D</strong> IC system design and electrical<br />

modeling<br />

- 2D and <strong>3D</strong> package design and modeling<br />

- Inline and end <strong>of</strong> line component and system<br />

testing<br />

- Thermal management<br />

- Yield management<br />

- Failure analysis<br />

For <strong>3D</strong> systems the biggest challenges will be<br />

reliability, system design and addressing yield and<br />

testability challenges. With respect to the process<br />

technology, it does require a different level <strong>of</strong><br />

sophistication to build these reliable structures but<br />

not insurmountable.<br />

Lastly, Freescale made the decision early to migrate<br />

development and pilot production to a 300mm<br />

<strong>for</strong>mat to resolve any issues we may see moving<br />

from our 200mm plat<strong>for</strong>m. As expected, we did see<br />

significant challenges that we had not experienced<br />

at 200mm. A large number <strong>of</strong> those showed <strong>up</strong><br />

The Infineon eWLB is a Wafer Level Package <strong>with</strong> a Fan-Out in<br />

order to increase the bump number and pitch. The IFX-213 in<br />

eWLB package is directly assembled on a PCB, <strong>with</strong> a 0.5mm<br />

pitch. One redistribution layer is used <strong>for</strong> this package.<br />

N e w s l e t t e r o n 3 d i C , t s v , W l P & e m b e d d e d t e c h n o l o g i e s<br />

in reliability and appear on larger packages <strong>with</strong><br />

increased layers <strong>of</strong> redistribution. Fortunately, we<br />

were able to resolve these by passing reliability <strong>with</strong><br />

good margin and capability.<br />

www.freescale.com<br />

Navjot Chhabra is currently<br />

heading Research and<br />

Development as well as the<br />

operations <strong>for</strong> Redistributed<br />

Chip Packaging technology<br />

<strong>with</strong>in Packaging Solutions<br />

Development at Freescale<br />

Semiconductor. Navjot has held several<br />

positions <strong>with</strong>in Freescale /Motorola<br />

including Strategy, Director <strong>of</strong> Interconnect at<br />

International SEMATECH and key positions<br />

in Manufacturing. Prior to Motorola, Navjot<br />

spent several years <strong>with</strong> Micron Technology<br />

working in process development, process and<br />

device integration as well as manufacturing.<br />

Navjot has been involved in the introduction<br />

<strong>of</strong> several generations <strong>of</strong> Memory devices as<br />

well as the initial migrating to Cu interconnects<br />

and adoption <strong>of</strong> ultra low k dielectrics. Navjot<br />

holds several patents in the area <strong>of</strong> process<br />

development and design.<br />

Infineon IFX-213 – eWLB Package<br />

conTAcT US<br />

The first reverse engineering analysis report <strong>of</strong> a Fan-Out Wafer Level Package !<br />

For more in<strong>for</strong>mation, feel free to contact David Jourdan,<br />

Tel: +33 472 83 01 90, Email: jourdan@yole.fr<br />

CONSULTING<br />

Analysis per<strong>for</strong>med by<br />

CONSULTING<br />

CONSULTING<br />

Physical Analysis Methodology<br />

Y O L E D É V E L O P P E M E N T<br />

Y O L E D É V E L O P P E M E N T<br />

Distributed by<br />

Y O L E D É V E L O P P E M E N T<br />

19


The Market Leader<br />

in eWLB Technology<br />

• First in high volume<br />

eWLB manufacturing <strong>with</strong><br />

best-in-class yields<br />

• First to <strong>of</strong>fer 300mm<br />

eWLB reconstituted wafer<br />

manufacturing<br />

• Pioneering the development<br />

<strong>of</strong> next-generation eWLB<br />

eWLB is a fan-out wafer<br />

level packaging technology<br />

that <strong>of</strong>fers a small, thin,<br />

high per<strong>for</strong>mance<br />

semiconductor solution<br />

<strong>for</strong> mobile phones and<br />

consumer devices.<br />

STATS ChipPAC is<br />

leading the industry in<br />

eWLB manufacturing and<br />

innovative next-generation<br />

technology such as:<br />

• multiple die side-by-side<br />

• s<strong>up</strong>er thin eWLB<br />

• two metal-layer<br />

redistribution<br />

• larger body size and<br />

higher I/O count<br />

• <strong>3D</strong>/PoP versions<br />

To learn more about eWLB visit:<br />

www.statschippac.com/eWLB


N o v e M B e r 2 0 1 0 i s s u e n ° 1 7<br />

C o M P a N Y v i s i o N<br />

Amkor talks <strong>3D</strong> trends, looks to the future<br />

Yole Développement: Are any new trends<br />

emerging in <strong>3D</strong> packaging?<br />

Terry Davis: We’re seeing several trends emerging.<br />

For starters, interconnect density is increasing. This<br />

means a smaller bond pad pitch, stacked die that<br />

require more interconnects in the same package<br />

footprint, and the adoption <strong>of</strong> mixed interconnect<br />

types, wirebond and flip chip, in the same package.<br />

Reducing package thickness is another big trend<br />

right now. This is being done <strong>with</strong> thinner wafers<br />

and die, heightening the importance <strong>of</strong> thinning<br />

methods <strong>for</strong> creating space <strong>for</strong> wirebonds such as<br />

spacer films, as well as thin core substrates.<br />

Another trend is shrinking footprint size while<br />

maintaining or increasing I/O count. We’re seeing<br />

finer external ball pitch, <strong>with</strong> 0.4mm gaining wider<br />

adoption and 0.3mm in development. There’s also<br />

a push to increase the number <strong>of</strong> I/O rows.<br />

We’re also seeing custom external ball patterns<br />

being used to optimize escape routing on<br />

applications boards.<br />

YD: Is an example <strong>of</strong> these custom external ball<br />

patterns the A4 used in Apple’s iPad?<br />

TD: Yes, a good example is the A4 processor used<br />

in the iPad.<br />

YD: What are the biggest challenges that remain<br />

<strong>for</strong> <strong>3D</strong> packaging? Any not-so-obvious ones?<br />

TD: Package warpage is still a key concern, <strong>with</strong><br />

the drive to a thinner package height. This requires<br />

thinned wafer/die, a thin mold cap, and a thin<br />

substrate core.<br />

The electrical and thermal per<strong>for</strong>mance <strong>of</strong> the<br />

system are more heavily influenced by package<br />

per<strong>for</strong>mance as more functionality is transferred to<br />

fewer packages by making use <strong>of</strong> <strong>3D</strong>-type package<br />

structures. Be<strong>for</strong>e you can solve a problem, you<br />

need to first understand it, and Amkor has extensive<br />

electrical, thermal, and mechanical modeling and<br />

testing capabilities.<br />

Historically, multi-die packages have used die from<br />

the same sources such as memory stacks. As <strong>3D</strong><br />

packaging expands, we can expect die from multiple<br />

sources to be packaged together. We’ll see various<br />

die designed <strong>for</strong> optimum connection <strong>with</strong>in a single<br />

package. This will be even more critical <strong>for</strong> TSV and<br />

designs where two die are connected by flip chip.<br />

YD: How is demand <strong>for</strong> <strong>3D</strong> packages compared<br />

to more traditional packages?<br />

TD: Handheld applications like smartphones and<br />

tablets are driving higher levels <strong>of</strong> integration.<br />

YD: Any comments on the A4 processor in<br />

some handheld tablets?<br />

TD: The A4 processor is the bottom package in a<br />

package-on-package (PoP) configuration, <strong>with</strong> the<br />

top package housing two memory die. The benefits<br />

include reduced footprint, improved communication<br />

between application processor and memory, and<br />

the ability to package and test the application<br />

processor separately from the memory to reduce<br />

yield stack-<strong>up</strong>.<br />

Again, using handheld tablets as an example, the<br />

flash memory is in 64GB LGA packages, where<br />

four die are stacked in each package. Memory-type<br />

devices have been the early adopters <strong>of</strong> <strong>3D</strong>-type<br />

packaging.<br />

YD: How is Amkor differentiating itself <strong>with</strong> <strong>3D</strong>?<br />

TD: Amkor recognized the drive toward <strong>3D</strong>-type<br />

packaging early on, and our TMV (through-mold<br />

via) PoP, Stacked CSP, and FlipStack CSP (Figure<br />

1) are clearly aimed at <strong>3D</strong> packaging requirements.<br />

We also recently announced our fine pitch copper<br />

N e w s l e t t e r o n 3 d i C , t s v , W l P & e m b e d d e d t e c h n o l o g i e s<br />

Amkor Technology, headquartered in Chandler, Arizona, is among the world’s largest providers <strong>of</strong> contract<br />

semiconductor assembly and test services.<br />

(Courtesy <strong>of</strong> Amkor)<br />

Copper pillar bumps (Courtesy <strong>of</strong> Amkor)<br />

pillar flip chip technology plat<strong>for</strong>m (Figure 2), which<br />

will enable fine-pitch <strong>3D</strong> interconnects well into the<br />

future.<br />

Amkor has relationships <strong>with</strong> leading foundries,<br />

which enables us to collaborate on reliability<br />

studies <strong>with</strong> advanced silicon nodes to ensure<br />

silicon/packaging interactions are addressed <strong>for</strong><br />

applications like <strong>3D</strong> packaging, where fragile, low-k<br />

dielectrics create challenges due to the thin, highdensity<br />

structures and interconnect technologies.<br />

YD: What role will industry collaboration play in<br />

the future <strong>of</strong> <strong>3D</strong> packaging?<br />

TD: Collaboration is a key element in both translating<br />

requirements and reducing time to market. For<br />

example, we worked <strong>with</strong> Nokia and ST to qualify<br />

our TMV PoP package. All parties benefited from<br />

this collaboration, which resulted in reduced time<br />

to market and increased sales, thanks to a short<br />

qualification time.<br />

We also recently collaborated <strong>with</strong> TI on our finepitch<br />

copper pillar flip chip—shrinking bump pitch<br />

<strong>up</strong> to 300% compared to current solder bump flip<br />

chip technology.<br />

YD: What’s next <strong>for</strong> <strong>3D</strong> packaging? Any trends<br />

we should watch <strong>for</strong> during the next 5 years?<br />

TD: Amkor expects to see increased stacked<br />

die counts <strong>for</strong> memory applications. In waferlevel<br />

packages, we also expect to see various<br />

configurations <strong>of</strong> stacked die and stacked package—<br />

<strong>with</strong> TMV as an enabling technology. We’re also<br />

expecting more hybrid packages, in the <strong>for</strong>m<br />

<strong>of</strong> wafer-level packages stacked <strong>with</strong> laminate<br />

packages. And as far as TSVs, it’s still a question <strong>of</strong><br />

whether it’ll be via first, middle, or last.<br />

www.amkor.com<br />

Terry W. Davis, Amkor<br />

Technology’s senior director <strong>of</strong><br />

technical marketing<br />

Davis currently serves as<br />

Amkor’s senior director <strong>of</strong><br />

technical marketing, and<br />

previously developed and managed their<br />

MicroLeadFrame package family.<br />

21


22<br />

N o v e M B e r 2 0 1 0 i s s u e n ° 1 7<br />

a N a l Y s t C o r N e r<br />

Packaging power semiconductors the next big thing?<br />

At the recent IMAPS France chapter’s power<br />

packaging conference, held in Tours on November<br />

18, presenters from application fields ranging from<br />

aerospace to military to transportation gathered<br />

to discuss the latest trends and solutions. And it’s<br />

worth noting just how surprisingly standardized their<br />

solutions seem to be.<br />

As <strong>of</strong> now, there are very few substrate s<strong>up</strong>pliers<br />

and no standard s<strong>up</strong>ply chain. Original equipment<br />

manufacturers (OEMs) package their power<br />

semiconductor devices themselves or count on<br />

integrated device manufacturers (IDMs) to do it <strong>for</strong><br />

them. But the outsourced semiconductor assembly<br />

and test (OSAT) companies are now taking to the<br />

power semiconductor business, seeing a great<br />

deal <strong>of</strong> potential down the road. And even though<br />

the s<strong>up</strong>ply chain varies greatly from one player to<br />

another, especially from one application to another,<br />

they are all following the same innovation pace and<br />

track and solutions as they emerge—which is quite<br />

remarkable.<br />

Demand <strong>for</strong> power semiconductor packaging is<br />

increasing and likely to become a very significant<br />

business in the future, so it’s a good time to talk<br />

about the evolution <strong>of</strong> power semiconductors and<br />

their packaging requirements.<br />

Power semiconductors<br />

First, let’s look at power semiconductors. What<br />

are they? Essentially power semiconductors<br />

involve energy management controlled by on/<strong>of</strong>f<br />

transistors. Electronics <strong>for</strong> this energy management<br />

are used <strong>for</strong> electrical engines and<br />

energy conversion, and especially <strong>for</strong><br />

photovoltaic inverters. The applications<br />

<strong>for</strong> power semiconductors include<br />

electric trains and tramways, as well as<br />

aerospace and automotive applications,<br />

audio amplifiers <strong>for</strong> consumer<br />

applications, photovoltaic inverters, and<br />

electrical vehicles or hybrids, etc.<br />

There are two big issues <strong>with</strong> power<br />

semiconductors. They must carry high<br />

current, and the result <strong>of</strong> high currents<br />

and voltages transiting through<br />

electronic appliances is the generation<br />

<strong>of</strong> a lot <strong>of</strong> heat that then must be extracted. High<br />

current and temperature are driving all <strong>of</strong> the<br />

innovation in this field.<br />

The transistors themselves can be made <strong>of</strong> silicon;<br />

a MOSFET. You can make different types <strong>of</strong><br />

transistors, such as isolated gate bipolar transistors<br />

(IGBTs). And <strong>for</strong> even higher power applications, we<br />

find compound semiconductors, <strong>with</strong> silicon carbide<br />

or gallium nitride. These are the semiconductors<br />

<strong>with</strong> larger bandgaps that are being used in<br />

emerging technologies <strong>for</strong> the higher-power range<br />

<strong>of</strong> power applications.<br />

N e w s l e t t e r o n 3 d i C , t s v , W l P & e m b e d d e d t e c h n o l o g i e s<br />

As demand <strong>for</strong> power semiconductors “heats <strong>up</strong>,” Yole analyst Jean-Marc Yannou provides a backgrounder<br />

on their evolution and packaging requirements.<br />

Jean-Marc Yannou,<br />

Project Manager,<br />

Advanced Packaging,<br />

WLP & <strong>3D</strong> system<br />

Integration,<br />

Yole Développement<br />

Power semiconductors typically<br />

fall <strong>with</strong>in the wide range <strong>of</strong> 5W to<br />

hundreds <strong>of</strong> kW, and silicon is the<br />

most common material used in the<br />

transistors because it’s still cheaper<br />

than many <strong>of</strong> the other emerging<br />

solutions.<br />

Most <strong>of</strong> the emerging technologies<br />

work much better at higher<br />

temperatures than silicon, <strong>up</strong> to<br />

250°C. Since internal heat generation<br />

is a problem, it can be tempting to<br />

replace silicon by the compound<br />

semiconductors to avoid the need <strong>for</strong><br />

cooling systems, which are also very expensive.<br />

Packaging power semiconductors<br />

The main issues <strong>for</strong> packaging power<br />

semiconductors are high currents and high<br />

temperatures. Measures must be taken to counter<br />

these issues, such as specific die attach materials,<br />

heat spreaders, insulators, specific interconnects,<br />

and cooling gels.<br />

The internal transistor temperatures, known as<br />

“junction temperatures,” can rise <strong>up</strong> to 250°C<br />

and even reach as high as 300°C. The best<br />

“According to Yole Développement, outsourced semiconductor<br />

assembly and test (OSAT) companies are now taking<br />

to the power semiconductor business, seeing a great deal<br />

<strong>of</strong> potential down the road…”<br />

DBC package structure <strong>for</strong> power semiconductors (Yole Développement - Nov. 2010)


N o v e M B e r 2 0 1 0 i s s u e n ° 1 7<br />

way to manage the temperature issue <strong>of</strong> power<br />

semiconductors can <strong>of</strong>ten be found in packaging<br />

materials.<br />

When you look at most ICs <strong>for</strong> consumer<br />

applications, they’re being overloaded <strong>with</strong><br />

epoxy resins. These epoxy resins are limited to<br />

operating temperatures <strong>of</strong> 200°C. For higher-power<br />

applications, overmoldings are no longer used.<br />

Then you need a specific substrate as well, because<br />

the standard organic substrates have the same<br />

issue: they’re epoxy-based.<br />

The most common one is the direct-bond copper<br />

(DBC) substrate. It uses a ceramic-based substrate<br />

either made <strong>of</strong> aluminum nitride or silicon nitride,<br />

<strong>with</strong> copper foils on both sides. This ceramic<br />

substrate is a good thermal conductor, which helps<br />

<strong>with</strong> heat extraction. Copper is also a good heat<br />

extractor.<br />

The transistors, silicon MOSFETs, IGBTs, GaN, or<br />

SiC, are attached on the overlying copper foil. The<br />

whole device is encapsulated and then signals exit<br />

the <strong>up</strong>per face <strong>of</strong> the device.<br />

Substrates<br />

It’s not at all easy to find companies who provide<br />

substrates <strong>for</strong> power semiconductors. The<br />

substrates are quite difficult to produce and there<br />

is a lot <strong>of</strong> secrecy surrounding which companies<br />

produce them and <strong>for</strong> whom. It’s rumored that there<br />

will only be three providers <strong>of</strong> the nitride-based<br />

substrates worldwide, because it requires knowing<br />

how to place copper on the nitride substrates.<br />

Thermal dissipation<br />

The goal is to dissipate/extract heat <strong>of</strong>f the<br />

semiconductor junction, through the semiconductor<br />

material to extract it from the package. Silicon isn’t<br />

as good a thermal dissipater as ceramic, and not<br />

even close to copper. So when using silicon wafers,<br />

they need to be thinned down to below 100µm.<br />

Actually, all <strong>of</strong> the high-powered transistors need<br />

to be thinner than 100µm—and only to combat<br />

thermal dissipation issues.<br />

Die attach materials<br />

ICs need to be attached to the substrates using die<br />

attach materials. The material <strong>of</strong> choice in most<br />

semiconductor packaging is usually glue, so <strong>for</strong> power<br />

semiconductor devices in the past thermal conductive<br />

glues <strong>with</strong> a high metal content were used.<br />

Ribbon bonding interconnections<br />

(Courtesy <strong>of</strong> ST Microelectronics)<br />

Since they needed even higher thermal conductivity,<br />

the industry continued to load more metal particles<br />

into the latest glues to the point where the latest<br />

ones are 80% silver; they barely contain glue<br />

anymore.<br />

The latest step in the evolution <strong>of</strong> die attach<br />

materials is using pure silver. One issue is that it<br />

has a high melting point. That’s why recent R&D<br />

involves silver powder <strong>with</strong> some chemical agents<br />

to help it be sintered at low temperatures using low<br />

temperature sintering technology.<br />

The next step will likely be nanoparticles, which are<br />

still in the R&D stage.<br />

Interconnects<br />

For consumer electronics the most common<br />

interconnections are wire bonds, although bumping<br />

interconnects are becoming common <strong>for</strong> flip chip<br />

devices. Both are used in power applications.<br />

The two issues <strong>of</strong> high current and high temperature<br />

are a problem because interconnects must be<br />

able to drive enough current density, and the high<br />

temperatures are an issue <strong>of</strong> interconnect reliability.<br />

To drive more current density than in the past,<br />

instead <strong>of</strong> using one wire bond per pad, multiple<br />

wires are being used, as well as a larger-diameter<br />

wire bond. For consumer electronics, the most<br />

common wire bonds are made <strong>of</strong> gold. But there are<br />

serious cost issues involved and it’s difficult to make<br />

large-diameter gold wire bonds. Now, aluminum,<br />

which can be grown in large diameters, is usually<br />

substituted <strong>for</strong> gold.<br />

After the arrays <strong>for</strong> wire bonding, the industry<br />

began using ribbon bonding. It uses the exact same<br />

principle as wire bonding and the same equipment.<br />

But instead <strong>of</strong> putting a round-shaped wire they use<br />

a rectangular-shaped wire, referred to as a ribbon,<br />

which is much larger and capable <strong>of</strong> driving much<br />

higher current density.<br />

There are other interconnects being used, such as<br />

clip bonding, which is when a MOSFET transistor<br />

is sandwiched between its copper foil and another<br />

copper foil, <strong>with</strong> attachment material on both sides<br />

<strong>of</strong> the device.<br />

And the reason <strong>for</strong> so many different technologies<br />

<strong>for</strong> interconnects is that we need to drive high<br />

current, but also reduce the excess resistance to<br />

the transistors.<br />

Copper pillars<br />

Copper pillars are an attractive option <strong>for</strong> power<br />

semiconductors, but contrary to how they’re used<br />

in flip chips, they would be placed on the topside <strong>of</strong><br />

N e w s l e t t e r o n 3 d i C , t s v , W l P & e m b e d d e d t e c h n o l o g i e s<br />

“The limited number <strong>of</strong> substrate s<strong>up</strong>pliers <strong>for</strong> power<br />

semiconductors and lack <strong>of</strong> a s<strong>up</strong>ply chain, however, are<br />

challenges that need to be overcome,”<br />

explains Jean-Marc Yannou, Yole Developpement<br />

the semiconductor device to bring the signal to the<br />

top. The downside is used <strong>for</strong> grounding and heat<br />

extraction.<br />

Power module <strong>with</strong> parallel wide bond<br />

interconnections<br />

A driver <strong>for</strong> using copper pillars is that wire bonds or<br />

ribbon bonds create some intermetallic compounds<br />

(IMCs), but these are interface alloys between<br />

the interconnection itself and the pads on the<br />

semiconductor device. There are conductivity and<br />

reliability issues <strong>with</strong> wire bonds, including cracking.<br />

Future <strong>of</strong> packaging power<br />

semiconductors<br />

The future <strong>of</strong> packaging power semiconductors<br />

looks very bright. A lot <strong>of</strong> industry momentum<br />

is starting to ratchet <strong>up</strong> the pace <strong>of</strong> evolution <strong>of</strong><br />

power semiconductor technologies and, as a<br />

consequence, packaging <strong>for</strong> these devices.<br />

The limited number <strong>of</strong> substrate s<strong>up</strong>pliers <strong>for</strong><br />

power semiconductors and lack <strong>of</strong> a s<strong>up</strong>ply chain,<br />

however, are challenges that need to be overcome.<br />

However, standardized technical solutions are<br />

emerging which will allow at their turn an outsourcing<br />

<strong>of</strong> assembly and packaging services <strong>of</strong> power<br />

semiconductors as they keep on growing.<br />

Sintering <strong>for</strong> die attach, in production at Semikron<br />

(Yole Développement - Nov. 2010)<br />

Jean-Marc Yannou joined Yole Developpement<br />

as technology and market expert in the fields <strong>of</strong><br />

advanced packaging and system integration. He<br />

has 15-years <strong>of</strong> experience in the semiconductor<br />

industry. He worked <strong>for</strong> Texas Instruments and<br />

Philips (then NXP semiconductors) where he<br />

served as Innovation Manager <strong>for</strong> System-in-<br />

Package technologies. He is also the President<br />

<strong>of</strong> IMAPS (International Microelectronics And<br />

Packaging Society) in France.<br />

23


24<br />

N o v e M B e r 2 0 1 0 i s s u e n ° 1 7<br />

MARKET TRENDS<br />

ContaCt uS<br />

N e w s l e t t e r o n 3 d i C , t s v , W l P & e m b e d d e d t e c h n o l o g i e s<br />

Embedded Wafer-Level-Packages<br />

Fan-out WLP / Chip Embedding in Substrate<br />

Be ready <strong>for</strong> the next generation <strong>of</strong> IC packaging & substrate assembly waves!<br />

• Embedded wafer-level-packaging technology is not new at all. Key<br />

benefits <strong>of</strong> the technology include miniaturization, improvement <strong>of</strong><br />

electrical and thermal per<strong>for</strong>mance, cost reduction and simplification<br />

<strong>of</strong> logistic <strong>for</strong> OEMs<br />

• Things are moving really fast at the moment as this year, we see both<br />

Fan-Out wafer level packaging and chip embeddeding into PCB<br />

laminate package infrastructures emerging at the same time, ramping<br />

to high volume production<br />

KEY FEatuRES<br />

• Both Fan-Out WLP and Chip embedded package technologies<br />

analyzed<br />

• Key market drivers, benefits and challenges application by<br />

application<br />

• Market trends & figures <strong>with</strong> detailed breakdown by application<br />

• Description <strong>of</strong> the complete manufacturing tool-box <strong>for</strong> embedded<br />

wafer level packaging<br />

• Analysis <strong>of</strong> several embedded package target prices <strong>for</strong> a few key<br />

applications<br />

• S<strong>up</strong>ply chain perspectives, key players and emerging infrastructure<br />

<strong>for</strong> embedded packaging<br />

For more in<strong>for</strong>mation, feel free to contact David Jourdan:<br />

tel: +33 472 83 01 90, Email: jourdan@yole.fr<br />

About Yole Développement<br />

<strong>3D</strong>IC<br />

<strong>with</strong><br />

tSV<br />

Fan-out<br />

WLP<br />

Package<br />

PCB<br />

300mm eWLB reconfigured wafer<br />

(Courtesy <strong>of</strong> NANIUM / Infineon).<br />

MEDIA<br />

• Critical news, Bi-weekly: <strong>Micronews</strong>, the magazine<br />

• In-depth analysis & Quarterly Technology Magazines: MEMS Trends – <strong>3D</strong> Packaging – PV Manufacturing – Efficien’Si<br />

• Online disr<strong>up</strong>tive technologies website: www.i-micronews.com<br />

• Exclusive Webcasts<br />

• Live event <strong>with</strong> Market Briefings<br />

CONTACTS<br />

For more in<strong>for</strong>mation about :<br />

• Services : Jean-Christophe Eloy (eloy@yole.fr)<br />

• Publications: David Jourdan (jourdan@yole.fr)<br />

• Media : Sandrine Leroy (leroy@yole.fr)<br />

Editorial Staff<br />

Managing Editor: Jean-Christophe Eloy - Editor in chief: <strong>Dr</strong> Eric Mounier<br />

Editors: Jérôme Baron, Jean-Marc Yannou, Sally Cole Johnson, <strong>Dr</strong>. Phil Garrou<br />

PR & Media Manager: Sandrine Leroy - Assistant: Camille Favre - Production: atelier JBBOX<br />

Flip-Chip<br />

IPDs<br />

Y O L E D É V E L O P P E M E N T<br />

3-D WLP<br />

MEMS<br />

Y O L E D É V E L O P P E M E N T<br />

Y O L E D É V E L O P P E M E N T<br />

Beginning in 1998 <strong>with</strong> Yole Développement, we have grown to become a gro<strong>up</strong> <strong>of</strong> companies providing market research, technology analysis,<br />

strategy consulting, media in addition to finance services. With a solid focus on emerging applications using silicon and/or micro manufacturing Yole<br />

Développement gro<strong>up</strong> has expanded to include more than 40 associates worldwide covering MEMS and Micr<strong>of</strong>luidics, Advanced Packaging, Compound<br />

Semiconductors, Power Electronics, LED, and Photovoltaic. The gro<strong>up</strong> s<strong>up</strong>ports companies, investors and R&D organizations worldwide to help them<br />

understand markets and follow technology trends to develop their business.<br />

SERVICES<br />

• Market data, market research and marketing analysis<br />

• Technology analysis<br />

• Reverse engineering and reverse costing<br />

• Strategy consulting<br />

• Corporate Finance Advisory (M&A and fund raising)<br />

PUBLICATIONS<br />

• Collection <strong>of</strong> market & technology reports<br />

• Players & market databases<br />

• Manufacturing cost simulation tools<br />

• Component reverse engineering & costing analysis<br />

More in<strong>for</strong>mation on www.yole.fr

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!