18.12.2012 Views

Reveal Troubleshooting Guide - Lattice Semiconductor

Reveal Troubleshooting Guide - Lattice Semiconductor

Reveal Troubleshooting Guide - Lattice Semiconductor

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Reveal</strong> <strong>Troubleshooting</strong> <strong>Guide</strong> EDIF Support<br />

EDIF Support<br />

� User-defined enumerated types, with the exception of arrays of std_logic<br />

or std_ulogic<br />

You can still use these types in the design, but signals of these types are not<br />

available for tracing or triggering. VHDL signals that are traced or triggered<br />

must be of types std_logic, std_logic_vector, std_ulogic, std_ulogic_vector,<br />

bit, or bit_vector. In addition, subtypes of std_ulogic_vector or<br />

std_logic_vector are supported, as well as user-defined types that are arrays<br />

of std_logic or std_ulogic.<br />

The EDIF flow is fully supported in <strong>Reveal</strong>. However, you must be aware of<br />

the following:<br />

� The <strong>Reveal</strong> Inserter must be started from a Project Navigator project. In<br />

order to use the EDIF flow with the <strong>Reveal</strong> Inserter, you must start the<br />

<strong>Reveal</strong> Inserter from a Project Navigator EDIF project. You cannot start<br />

the EDIF flow from a Project Navigator project that is schematic, VHDL/<br />

schematic, VHDL, Verilog/schematic, or Verilog.<br />

� In the EDIF flow, the representation in the <strong>Reveal</strong> Inserter is of the EDIF<br />

hierarchy and signal names. Buses appear as individual signals instead of<br />

buses, as in the RTL flow.<br />

<strong>Reveal</strong> <strong>Troubleshooting</strong> <strong>Guide</strong> 2

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!