27.11.2014 Views

VHDL PARTE 1 - - GSE

VHDL PARTE 1 - - GSE

VHDL PARTE 1 - - GSE

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

MICROINSTRUÇÃO => PALAVRA DE CONTROLE<br />

FETCH 1/3<br />

DATAMEM<br />

escreve na memória<br />

CE<br />

RW<br />

ADDRESS<br />

DATAMEM<br />

escreve na memória<br />

CE<br />

RW<br />

MAR ß PC<br />

uins

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!