27.11.2014 Views

VHDL PARTE 1 - - GSE

VHDL PARTE 1 - - GSE

VHDL PARTE 1 - - GSE

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

TEST BENCH (4 bis)<br />

TEST BENCH (5)<br />

5 -- escrever na memória, de maneira síncrona, como nos registradores<br />

• PROBLEMA para escrita - duas fontes de escrita: inicialização e Cleóptara.<br />

• Solução:<br />

process(go, ce, rw , ck)<br />

begin<br />

if go'event and go='1' then<br />

if endereco>=0 and endereco

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!