03.04.2014 Views

implementação de um amplificador classe d microprocessado

implementação de um amplificador classe d microprocessado

implementação de um amplificador classe d microprocessado

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

UNIVERSIDADE FEDERAL DO ESPÍRITO SANTO<br />

CENTRO TECNOLÓGICO<br />

DEPARTAMENTO DE ENGENHARIA ELÉTRICA<br />

PROJETO DE GRADUAÇÃO<br />

IMPLEMENTAÇÃO DE UM AMPLIFICADOR<br />

CLASSE D MICROPROCESSADO<br />

GUILHERME BUZATO TALHATE<br />

VITÓRIA – ES<br />

Dezembro / 2006


GUILHERME BUZATO TALHATE<br />

IMPLEMENTAÇÃO DE UM AMPLIFICADOR<br />

CLASSE D MICROPROCESSADO<br />

Parte escrita do Projeto <strong>de</strong> Graduação<br />

do aluno Guilherme Buzato Talhate,<br />

feito sob a orientação do Professor Dr.<br />

Evandro Ottoni Teatini Salles,<br />

apresentado ao Departamento <strong>de</strong><br />

Engenharia Elétrica do Centro<br />

Tecnológico da Universida<strong>de</strong> Fe<strong>de</strong>ral<br />

do Espírito Santo, para obtenção do<br />

grau <strong>de</strong> Engenheiro Eletricista.<br />

VITÓRIA – ES<br />

Dezembro / 2006


GUILHERME BUZATO TALHATE<br />

IMPLEMENTAÇÃO DE UM AMPLIFICADOR<br />

CLASSE D MICROPROCESSADO<br />

COMISSÃO EXAMINADORA:<br />

___________________________________<br />

Prof. Dr. Evandro Ottoni Teatini Salles<br />

Orientador<br />

___________________________________<br />

Eng. Alexandre Secchin <strong>de</strong> Melo<br />

Co-orientador<br />

___________________________________<br />

Prof. Dr. Mário Sarcinelli Filho<br />

Examinador<br />

___________________________________<br />

Prof. Dr. José Denti Filho<br />

Examinador<br />

Vitória - ES, 15, <strong>de</strong>zembro, 2006


DEDICATÓRIA<br />

Dedico este trabalho com muito carinho a Renata Cal<strong>de</strong>ira <strong>de</strong> Amorim que sempre<br />

esteve ao meu lado e tanto me ajudou nos momentos mais difíceis.<br />

E aos Professores do Departamento <strong>de</strong> Engenharia Elétrica da UFES por tornarem<br />

este trabalho possível.


AGRADECIMENTOS<br />

Agra<strong>de</strong>ço ao professor Dr. Evandro Ottoni Teatini Salles pela oportunida<strong>de</strong>,<br />

<strong>de</strong>dicação, atenção, compreensão e paciência antes mesmo da proposição <strong>de</strong>ste projeto, ao<br />

professor Dr. Mário Sarcinelli Filho pela orientação acadêmica, na iniciação científica,<br />

muito útil para a elaboração e execução <strong>de</strong>ste projeto e ao professor Dr. José Denti Filho<br />

pelo incentivo, interesse e ajuda nos estudos <strong>de</strong>ste projeto.<br />

À minha família, pelo apoio e suporte, e à minha querida Renata Cal<strong>de</strong>ira <strong>de</strong><br />

Amorim pelo companheirismo, ajuda e compreensão ao longo <strong>de</strong> todo o curso.<br />

Ao aluno <strong>de</strong> mestrado Alexandre Secchin <strong>de</strong> Melo, pela ajuda na elaboração do<br />

programa, na construção das placas e pelo suporte técnico.<br />

Aos meus amigos Marco Antonio Sarter Stoco e João Victor Santos Bissoli pelo<br />

incentivo, ajuda e companheirismo.


RESUMO<br />

Este projeto foi <strong>de</strong>senvolvido a partir da idéia <strong>de</strong> implementar <strong>um</strong> <strong>amplificador</strong> <strong>de</strong><br />

áudio com alto rendimento e boa linearida<strong>de</strong> através da utilização <strong>de</strong> <strong>um</strong> circuito chaveado.<br />

O circuito é composto por diversos módulos, especializados em <strong>de</strong>terminadas funções,<br />

cada <strong>um</strong> <strong>de</strong>les com suas características e exigências próprias.<br />

A união <strong>de</strong>sses módulos compõe o <strong>amplificador</strong> <strong>classe</strong> D, capaz <strong>de</strong> amplificar os<br />

sinais <strong>de</strong> áudio <strong>de</strong> <strong>um</strong>a guitarra e alimentar <strong>um</strong> alto-falante com a potência <strong>de</strong> 50 watts,<br />

com <strong>um</strong> aquecimento muito menor que os tradicionais.<br />

Por esquentar menos, não foram necessários dissipadores <strong>de</strong> calor. Assim, o<br />

circuito completo po<strong>de</strong> ser menor e mais barato que os tradicionais. A potência que esse<br />

<strong>amplificador</strong> é capaz <strong>de</strong> fornecer po<strong>de</strong> ser ampliada até 500 watts por canal, apenas<br />

alterando alguns componentes.<br />

A utilização <strong>de</strong> <strong>um</strong> <strong>microprocessado</strong>r permite que sejam feitas alterações do<br />

sistema sem nenh<strong>um</strong>a modificação ou troca <strong>de</strong> peça. Além disso, ele ainda permite o<br />

processamento digital dos sinais <strong>de</strong> áudio.


LISTA DE FIGURAS<br />

Figura 1 – Topologia do Amplificador Classe A.............................................................11<br />

Figura 2 – Topologia do Amplificador Classe B.............................................................12<br />

Figura 3 – Topologia do Amplificador Classe AB. .........................................................12<br />

Figura 4 – Razão Cíclica <strong>de</strong> <strong>um</strong>a onda quadrada.............................................................14<br />

Figura 5 – Circuito Gatilhador dos MOSFETs................................................................15<br />

Figura 6 – Demodulação <strong>de</strong> <strong>um</strong> sinal PWM....................................................................15<br />

Figura 7 – Princípio <strong>de</strong> funcionamento do modulador PWM. .........................................16<br />

Figura 8 – Circuito gerador <strong>de</strong> onda triangular. ..............................................................16<br />

Figura 9 – Circuito modulador PWM. ............................................................................17<br />

Figura 10 – Sinal aplicado à entrada do conversor A/D <strong>de</strong> 8 bits. ...................................19<br />

Figura 11 – Valor quantizado do sinal amostrado. ..........................................................20<br />

Figura 12 – Exemplo <strong>de</strong> geração do sinal PWM a partir do sinal quantizado. .................20<br />

Figura 13 – Chip LPC2138 da Philips. ...........................................................................22<br />

Figura 14 – Circuito elaborado para o Pré-Amplificador.................................................24<br />

Figura 15 – Filtro LC Sintonizado <strong>de</strong> Segunda Or<strong>de</strong>m....................................................27<br />

Figura 16 – Placa <strong>de</strong> Circuito Impresso do Pré-Amplificador. ........................................28<br />

Figura 17 – Gráfico do Módulo da Resposta em Freqüência do Pré-Amplificador. .........29<br />

Figura 18 – Placa <strong>de</strong> Circuito Impresso dos Módulos <strong>de</strong> Gatilhamento e Filtro...............30<br />

Figura 19 – Gráfico do Módulo da Resposta em Freqüência do Filtro.............................30<br />

Figura 20 – Gráfico do Módulo da Resposta em Freqüência do Filtro com o Resistor.....31<br />

Figura 21 – Kit MCB2130 da Keil que utiliza o LPC2138..............................................32<br />

Figura 22 – Circuito do Amplificador Classe D Implementado Analogicamente.............33<br />

Figura 23 – Circuito completo do Amplificador Classe D Implementado Digitalmente. .34<br />

Figura 24 – Curvas para as diferentes situações acústicas do ambiente. ..........................37<br />

Figura 25 – Bancada com os equipamentos montados. ...................................................39<br />

Figura 26 – Forma <strong>de</strong> onda da corrente fornecida pela fonte <strong>de</strong> 40 volts.........................40<br />

Figura 27 – À esquerda o gatilhador IRS20124S e à direita o DirectFET........................45<br />

Figura 28 – Arquitetura do LPC2138..............................................................................49<br />

Figura 29 – Símbolo e encapsulamento do MOSFET IRLS34N. ....................................51<br />

Figura 30 – Esquemático do circuito do gatilhador IR2011.............................................52


LISTA DE TABELAS<br />

Tabela 1 – Valores medidos no teste para cálculo da THD. ............................................36<br />

Tabela 2 – Valores adaptados <strong>de</strong> acordo com a situação “D”..........................................38<br />

Tabela 3 – Valores Indicados no Wattímetro Digital. .....................................................39


SUMÁRIO<br />

1 INTRODUÇÃO........................................................................................................... 9<br />

1.1 Objetivos.............................................................................................................. 9<br />

1.2 Descrição dos Capítulos .................................................................................... 10<br />

2 DESCRIÇÃO TEÓRICA.......................................................................................... 11<br />

2.1 Amplificadores Classe A, B e AB...................................................................... 11<br />

2.2 Amplificadores Classe D ................................................................................... 14<br />

2.2.1 Amplificadores Classe D Implementados Analogicamente.................... 16<br />

2.2.2 Amplificadores Classe D Implementados Digitalmente ......................... 19<br />

3 DESENVOLVIMENTO............................................................................................ 22<br />

3.1 Dimensionamento dos Componentes ................................................................ 22<br />

3.2 Implementação dos Módulos ............................................................................ 28<br />

4 RESULTADOS E TESTES ...................................................................................... 33<br />

4.1 Testes <strong>de</strong> Funcionamento do Amplificador Microprocessado......................... 34<br />

4.2 Medição da Taxa <strong>de</strong> Distorção Harmônica Total ............................................ 36<br />

4.3 Medição do Rendimento.................................................................................... 38<br />

5 CONCLUSÕES E PROJETOS FUTUROS............................................................. 41<br />

6 REFERÊNCIAS BIBLIOGRÁFICAS ..................................................................... 47<br />

GLOSSÁRIO................................................................................................................ 48<br />

APÊNDICE A – Microcontrolador ARM7 LPC2138................................................. 49<br />

APÊNDICE B – MOSFET IRLZ34N.......................................................................... 51<br />

APÊNDICE C – Gatilhador <strong>de</strong> MOSFETs IR2011 .................................................... 52<br />

APÊNDICE D – Código Fonte do LPC2138 ............................................................... 53


1 INTRODUÇÃO<br />

Durante vários séculos os músicos apresentaram suas obras utilizando apenas<br />

instr<strong>um</strong>entos acústicos. Todo o processo <strong>de</strong> geração sonora advinha do esforço dos<br />

próprios músicos.<br />

Com o <strong>de</strong>senvolvimento da eletrônica surgiram novos instr<strong>um</strong>entos, a exemplo da<br />

guitarra, do contrabaixo elétrico e dos <strong>amplificador</strong>es.<br />

Os circuitos elétricos e eletrônicos são parte integrante <strong>de</strong> qualquer apresentação<br />

musical da atualida<strong>de</strong>. Eles se fazem presentes nos pequenos microfones, captadores dos<br />

instr<strong>um</strong>entos <strong>de</strong> corda e até nos gran<strong>de</strong>s <strong>amplificador</strong>es dos shows <strong>de</strong> rock.<br />

Esses <strong>amplificador</strong>es possuem capacida<strong>de</strong>s <strong>de</strong> fornecimento <strong>de</strong> potência <strong>de</strong>s<strong>de</strong><br />

alguns watts até 500 watts. Porém, o aquecimento provocado por esses equipamentos<br />

também é muito gran<strong>de</strong>. Os equipamentos <strong>de</strong> maior porte necessitam <strong>de</strong> gran<strong>de</strong><br />

dissipadores <strong>de</strong> calor, muitas vezes associados a ventiladores, para manter a temperatura<br />

controlada.<br />

Em média, o aquecimento <strong>de</strong> <strong>um</strong> <strong>amplificador</strong> está equiparado com sua potência.<br />

Portanto, <strong>um</strong> equipamento <strong>de</strong> 200 watts exige <strong>um</strong> fornecimento <strong>de</strong> energia <strong>de</strong> pelo menos<br />

400 watts para seu funcionamento.<br />

A possibilida<strong>de</strong> <strong>de</strong> construir <strong>um</strong> <strong>amplificador</strong> que possua a mesma capacida<strong>de</strong> <strong>de</strong><br />

potência <strong>de</strong> saída e <strong>um</strong> aquecimento muito menor <strong>de</strong>spertou interesse pela possibilida<strong>de</strong> <strong>de</strong><br />

economia <strong>de</strong> energia e material.<br />

Uma vez que o <strong>amplificador</strong> não aquecerá tanto, os dissipadores e ventiladores não<br />

serão tão exigidos, po<strong>de</strong>ndo ser diminuídos. Isso resulta n<strong>um</strong>a diminuição direta dos custos<br />

<strong>de</strong> produção do equipamento, no seu vol<strong>um</strong>e e no seu peso.<br />

Para <strong>amplificador</strong>es <strong>de</strong> gran<strong>de</strong> porte, a economia <strong>de</strong> energia também é <strong>um</strong>a<br />

vantagem importante, já que ela po<strong>de</strong> chegar a centenas <strong>de</strong> watts.<br />

1.1 Objetivos<br />

As principais diretivas <strong>de</strong>ste projeto seguem principalmente a idéia <strong>de</strong> construir <strong>um</strong><br />

<strong>amplificador</strong> com <strong>um</strong>a capacida<strong>de</strong> pequena <strong>de</strong> potência, mas com a possibilida<strong>de</strong> <strong>de</strong><br />

ampliação <strong>de</strong>ssa capacida<strong>de</strong> sem a necessida<strong>de</strong> <strong>de</strong> gran<strong>de</strong>s alterações.<br />

Seguindo essa linha foi estipulada como base para a implementação do sistema <strong>um</strong>a<br />

capacida<strong>de</strong> <strong>de</strong> potência <strong>de</strong> saída <strong>de</strong> 50 watts.<br />

9


Os principais objetivos para que o resultado <strong>de</strong>ste trabalho seja consi<strong>de</strong>rado<br />

satisfatório, <strong>de</strong> acordo com sua idéia original, são: rendimento total do sistema próximo a<br />

85% e taxa <strong>de</strong> distorção harmônica total do sinal <strong>de</strong> saída inferior a 1%.<br />

A escolha <strong>de</strong>sse valor para o rendimento foi baseada apenas n<strong>um</strong>a estimativa <strong>de</strong><br />

cons<strong>um</strong>o <strong>de</strong> energia e perdas no sistema da or<strong>de</strong>m <strong>de</strong> 10 watts.<br />

Já a escolha da taxa <strong>de</strong> distorção harmônica total foi estimada com a seguinte idéia:<br />

se <strong>um</strong> valor <strong>de</strong> distorção é menor que <strong>um</strong> centésimo do sinal original, então ele po<strong>de</strong> ser<br />

consi<strong>de</strong>rado muito menor ou até mesmo <strong>de</strong>sprezível para fins práticos.<br />

1.2 Descrição dos Capítulos<br />

Todo o trabalho executado ao longo <strong>de</strong>ste projeto está <strong>de</strong>scrito nos próximos 5<br />

capítulos.<br />

No Capítulo 2 é feita <strong>um</strong>a breve <strong>de</strong>scrição teórica dos <strong>amplificador</strong>es, citando os<br />

mo<strong>de</strong>los tradicionais e suas principais características, como a linearida<strong>de</strong> e o rendimento.<br />

Também se <strong>de</strong>screve o princípio <strong>de</strong> funcionamento dos <strong>amplificador</strong>es chaveados e seus<br />

diferentes tipos <strong>de</strong> implementação.<br />

O Capítulo 3 <strong>de</strong>screve todos os passos para a escolha dos componentes, segundo<br />

suas características e exigências, e o projeto <strong>de</strong> implementação dos módulos. Esse capítulo<br />

também mostra os módulos implementados, além da apresentação <strong>de</strong> suas características<br />

principais, como o ganho, por exemplo.<br />

No Capítulo 4 são expostos os testes e seus resultados. Foram examinados três<br />

outros <strong>amplificador</strong>es, a título <strong>de</strong> comparação. Em seguida, o sistema foi montado e ligado<br />

para examinar seu funcionamento.<br />

Os resultados do primeiro teste foram analisados, para resolver alguns problemas<br />

<strong>de</strong> <strong>de</strong>sempenho, e, em seguida, foi feito o segundo teste para confirmar seu bom<br />

funcionamento.<br />

Com o sistema funcionando a<strong>de</strong>quadamente foram feitos os dois testes finais para a<br />

medição da taxa <strong>de</strong> distorção harmônica e do rendimento.<br />

No Capítulo 5 estão expostos os resultados <strong>de</strong>sses testes, as conclusões, a<br />

experiência adquirida e as possíveis melhorias para projetos futuros.<br />

Por fim, no Capítulo 6 estão as referência utilizadas para a pesquisa do assunto e<br />

como base para alguns cálculos e conclusões.<br />

10


2 DESCRIÇÃO TEÓRICA<br />

2.1 Amplificadores Classe A, B e AB<br />

Os primeiros <strong>amplificador</strong>es projetados para aplicações musicais foram os <strong>classe</strong> A.<br />

Estes possuem <strong>um</strong>a corrente <strong>de</strong> polarização alta garantindo-lhes excelente linearida<strong>de</strong>,<br />

porém péssimo rendimento (máximo <strong>de</strong> 25%). Eles também são muito vol<strong>um</strong>osos, por<br />

conta da dissipação do calor gerado. Sua topologia está apresentada na Figura 1.<br />

Fonte: [8]<br />

Figura 1 – Topologia do Amplificador Classe A.<br />

In<strong>de</strong>pen<strong>de</strong>ntemente do sinal <strong>de</strong> entrada, o circuito consome <strong>um</strong>a quantida<strong>de</strong> fixa <strong>de</strong><br />

energia durante todo o tempo que estiver ligado. Caso ele não esteja fornecendo energia à<br />

carga, toda a energia estará sendo <strong>de</strong>sperdiçada na forma <strong>de</strong> calor.<br />

Por possuir <strong>um</strong> rendimento muito baixo, os projetos <strong>de</strong> <strong>amplificador</strong>es <strong>de</strong>ste tipo,<br />

para gran<strong>de</strong>s potências <strong>de</strong> carga, produzem <strong>um</strong>a quantida<strong>de</strong> tão gran<strong>de</strong> <strong>de</strong> calor que<br />

inviabiliza qualquer projeto com estes <strong>amplificador</strong>es. Atualmente, estes <strong>amplificador</strong>es<br />

estão limitados a aplicações <strong>de</strong> baixa potência, como fones <strong>de</strong> ouvido, por exemplo.<br />

Visando projetar circuitos <strong>de</strong> maior rendimento, foram elaborados os<br />

<strong>amplificador</strong>es <strong>classe</strong> B, como mostrado na Figura 2. Suas principais diferenças são: não<br />

apresentar corrente <strong>de</strong> polarização e utilizar dois transistores que trabalham apenas 50% do<br />

tempo cada <strong>um</strong>. Esta configuração é conhecida como push-pull, on<strong>de</strong> apenas <strong>um</strong> <strong>de</strong>les<br />

amplifica a parte positiva da onda, enquanto o outro amplifica a parte negativa.<br />

11


Fonte: [8]<br />

Figura 2 – Topologia do Amplificador Classe B.<br />

Deste modo, o rendimento para esta <strong>classe</strong> po<strong>de</strong> chegar a 78,5%, mas ela tem a<br />

linearida<strong>de</strong> comprometida tanto pelo intervalo <strong>de</strong> condução entre os transistores – chamado<br />

cross-over.<br />

Para eliminar o cross-over é necessário manter os transistores sempre polarizados.<br />

E a partir daí foram criados os <strong>amplificador</strong>es <strong>classe</strong> AB, como se observa na Figura 3.<br />

Como este tipo <strong>de</strong> circuito apresenta corrente <strong>de</strong> polarização – porém menor que os <strong>classe</strong><br />

A – e dois transistores trabalhando em semi-ciclos alternados como os <strong>classe</strong> B, eles<br />

representam <strong>um</strong>a melhoria não somente em relação aos <strong>classe</strong> B, quanto à linearida<strong>de</strong>, mas<br />

também em relação aos <strong>classe</strong> A, quanto ao rendimento. Atualmente, estes <strong>amplificador</strong>es<br />

são os mais utilizados em aparelhos <strong>de</strong> áudio.<br />

Fonte: [8]<br />

Figura 3 – Topologia do Amplificador Classe AB.<br />

O rendimento <strong>de</strong> <strong>um</strong> <strong>amplificador</strong> <strong>de</strong> áudio é a relação entre seu cons<strong>um</strong>o <strong>de</strong><br />

energia e a energia fornecida ao alto-falante. A dissipação <strong>de</strong> calor é <strong>um</strong> fator limitante<br />

12


para circuitos <strong>de</strong> alta potência e baixo rendimento, porque a maior parte <strong>de</strong> seu custo é<br />

<strong>de</strong>vido à necessida<strong>de</strong> <strong>de</strong> utilização <strong>de</strong> dissipadores gran<strong>de</strong>s e caros. O baixo rendimento<br />

também significa <strong>um</strong> cons<strong>um</strong>o <strong>de</strong> energia muito gran<strong>de</strong> em relação à quantida<strong>de</strong> <strong>de</strong> energia<br />

convertida em som.<br />

Quando analisamos equipamentos alimentados por baterias, o tempo que este<br />

equipamento é capaz <strong>de</strong> funcionar sem a necessida<strong>de</strong> <strong>de</strong> recarga é chamado <strong>de</strong> autonomia.<br />

Seu rendimento está diretamente ligado a sua autonomia. Portanto, quanto maior for seu<br />

rendimento maior será seu tempo <strong>de</strong> utilização sem a necessida<strong>de</strong> <strong>de</strong> recarga.<br />

Por conta dos motivos acima, os engenheiros propuseram <strong>um</strong>a nova <strong>classe</strong> <strong>de</strong><br />

amplificação on<strong>de</strong> a perda <strong>de</strong> energia fosse minimizada, mesmo que a qualida<strong>de</strong> do áudio<br />

venha a ser comprometida. Esse novo tipo <strong>de</strong> amplificação ficou conhecido como <strong>classe</strong> D.<br />

13


2.2 Amplificadores Classe D<br />

Os <strong>amplificador</strong>es <strong>classe</strong> D são circuitos chaveados cuja principal característica e<br />

diferencial é o estágio <strong>de</strong> saída. Ele é composto por transistores que trabalham sempre nas<br />

regiões <strong>de</strong> condução plena e <strong>de</strong> corte, semelhantemente a <strong>um</strong>a chave fechada e a <strong>um</strong>a<br />

chave aberta respectivamente, daí a nomenclatura: <strong>amplificador</strong>es chaveados.<br />

Como trabalham na região <strong>de</strong> chaveamento, a dissipação <strong>de</strong> calor teórica é zero.<br />

Esta característica proporciona alg<strong>um</strong>as vantagens, tais como alto rendimento, boa<br />

linearida<strong>de</strong> e tamanho reduzido, mas também apresenta alg<strong>um</strong>as <strong>de</strong>svantagens, como a<br />

necessida<strong>de</strong> <strong>de</strong> filtros no estágio <strong>de</strong> saída e componentes eletrônicos mais sofisticados.<br />

Seu funcionamento consiste em gerar <strong>um</strong> sinal modulado por largura <strong>de</strong> pulso<br />

(PWM) a partir do sinal <strong>de</strong> áudio [5]. Isto significa que o sinal <strong>de</strong> saída <strong>de</strong>stes<br />

<strong>amplificador</strong>es é <strong>um</strong>a onda que possui apenas dois valores possíveis, alto ou baixo, ou seja,<br />

<strong>um</strong>a onda quadrada.<br />

Para que o processo <strong>de</strong> modulação do sinal não proporcione perda <strong>de</strong> sinal é<br />

necessário que a onda quadrada (portadora) tenha <strong>um</strong>a freqüência, no mínimo, duas vezes<br />

maior que a maior freqüência do sinal <strong>de</strong> áudio (modulante).<br />

A relação entre o tempo que o sinal permanece com o valor alto e o período da<br />

onda é chamada <strong>de</strong> “Razão Cíclica”. O sinal PWM é aquele que varia sua razão cíclica<br />

linearmente, <strong>de</strong> acordo com o sinal modulante. Por exemplo: <strong>um</strong> sinal PWM com 10 volts<br />

para o valor alto, 0 volt para o valor baixo e razão cíclica <strong>de</strong> 35% representa <strong>um</strong> valor igual<br />

a 3,5 volts.<br />

Figura 4 – Razão Cíclica <strong>de</strong> <strong>um</strong>a onda quadrada.<br />

A amplificação do sinal é feita utilizando este sinal PWM para o gatilhamento dos<br />

transistores. O sinal é enviado a <strong>um</strong> circuito a<strong>de</strong>quador <strong>de</strong> sinal para que este comute os<br />

transistores. Sempre que o sinal estiver alto o transistor conectado ao pólo positivo da fonte<br />

é ligado, comportando-se como <strong>um</strong>a chave fechada, e o transistor conectado ao pólo<br />

negativo da fonte é <strong>de</strong>sligado, comportando-se como <strong>um</strong>a chave aberta; quando o sinal<br />

estiver baixo ocorre o contrário.<br />

14


É necessário que o tempo <strong>de</strong> comutação dos transistores tenha <strong>um</strong>a duração muito<br />

menor que o período do sinal modulado, pois o tempo <strong>de</strong> transição do transistor <strong>de</strong> chave<br />

aberta para fechada, e vice-versa, é <strong>um</strong> fator <strong>de</strong>terminante do rendimento do sistema.<br />

Amplificadores com estas características po<strong>de</strong>m atingir rendimentos <strong>de</strong> até 95%.<br />

Figura 5 – Circuito Gatilhador dos MOSFETs.<br />

O resultado <strong>de</strong>ste chaveamento é também <strong>um</strong> sinal PWM, com a mesma razão<br />

cíclica. Porém, os valores alto e baixo terão os níveis <strong>de</strong> tensão da fonte a que os<br />

transistores estão ligados. Este sinal é capaz <strong>de</strong> representar qualquer valor <strong>de</strong> tensão que<br />

esteja entre os valores fornecidos pela fonte, através do ajuste <strong>de</strong> sua razão cíclica.<br />

Fonte: [1]<br />

Figura 6 – Demodulação <strong>de</strong> <strong>um</strong> sinal PWM.<br />

Para que o sinal <strong>de</strong> saída seja apenas o valor médio do sinal PWM é necessário<br />

<strong>de</strong>modulá-lo. A <strong>de</strong>modulação é feita por <strong>um</strong> filtro bassa-baixas que impe<strong>de</strong> a passagem da<br />

portadora, chegando até a carga apenas as componentes do sinal <strong>de</strong> áudio.<br />

Objetivando <strong>um</strong>a boa qualida<strong>de</strong> <strong>de</strong> áudio, o filtro <strong>de</strong>ve apresentar <strong>um</strong>a atenuação<br />

aproximadamente constante (menor que 3dB) ao longo <strong>de</strong> toda sua banda passante, pois<br />

diferentes valores <strong>de</strong> atenuação nessa faixa implicam em valores <strong>de</strong> ganho diferentes entre<br />

sons graves, médios e agudos.<br />

15


2.2.1 Amplificadores Classe D Implementados Analogicamente<br />

Um <strong>amplificador</strong> <strong>classe</strong> D implementado analogicamente necessita basicamente <strong>de</strong><br />

<strong>um</strong> modulador PWM para gatilhar os transistores. Um dos processos <strong>de</strong> modulação<br />

possíveis é feito comparando o sinal <strong>de</strong> áudio com <strong>um</strong>a onda triangular, como mostrado na<br />

Figura 7, cuja freqüência mínima <strong>de</strong>ve ser duas vezes maior que a maior freqüência do<br />

sinal <strong>de</strong> áudio.<br />

Fonte: [1]<br />

Figura 7 – Princípio <strong>de</strong> funcionamento do modulador PWM.<br />

A freqüência do sinal PWM gerado é <strong>de</strong>terminada pela onda triangular. Como o<br />

<strong>de</strong>sejado para o PWM é que apresente no mínimo o dobro da freqüência máxima do sinal<br />

<strong>de</strong> áudio, a freqüência da onda triangular será <strong>de</strong>terminada pela freqüência <strong>de</strong>sejada para o<br />

PWM. Este mecanismo funciona como se a onda triangular <strong>de</strong>terminasse a taxa <strong>de</strong><br />

amostragem do sinal <strong>de</strong> entrada para a geração do PWM.<br />

A linearida<strong>de</strong> <strong>de</strong>stes <strong>amplificador</strong>es está ligada principalmente à qualida<strong>de</strong> da onda<br />

triangular. Ela, i<strong>de</strong>almente, <strong>de</strong>ve ser linear ao longo do tempo, com transição imediata<br />

entre subida e <strong>de</strong>scida e vice-versa.<br />

Um circuito capaz <strong>de</strong> gerar a onda triangular é mostrado na Figura 8.<br />

Fonte: [8]<br />

Figura 8 – Circuito gerador <strong>de</strong> onda triangular.<br />

Os ajustes <strong>de</strong> freqüência e amplitu<strong>de</strong> da onda triangular gerada no circuito da<br />

Figura 8 são calculados pelas equações:<br />

16


8<br />

f T<br />

= , (1)<br />

V<br />

4R<br />

R C<br />

6<br />

R<br />

7<br />

4<br />

R<br />

= , (2)<br />

7<br />

T<br />

V fonte<br />

R8<br />

on<strong>de</strong>: f T freqüência da onda triangular;<br />

V T tensão <strong>de</strong> pico da onda;<br />

V fonte tensão <strong>de</strong> alimentação.<br />

O comparador utilizado para gerador <strong>de</strong> onda triangular <strong>de</strong>ve possuir atraso <strong>de</strong><br />

propagação e tempo <strong>de</strong> transição entre os valores <strong>de</strong> saída muito menor que o período da<br />

onda, para que as equações sejam válidas. Um atraso muito gran<strong>de</strong> <strong>de</strong> propagação alterará<br />

a amplitu<strong>de</strong> e o período do sinal gerado, e <strong>um</strong>a transição lenta provocará <strong>um</strong><br />

arredondamento das quinas da onda, o que <strong>de</strong>grada a linearida<strong>de</strong> do sinal.<br />

Estes dois fatores citados po<strong>de</strong>m ser muito restritivos para o caso <strong>de</strong> <strong>um</strong><br />

<strong>amplificador</strong> com alta qualida<strong>de</strong> <strong>de</strong> áudio. Quando a exigência <strong>de</strong> velocida<strong>de</strong> é muito<br />

gran<strong>de</strong>, poucos componentes são capazes <strong>de</strong> atendê-la. Por isso, os poucos que são capazes<br />

<strong>de</strong> manter boa linearida<strong>de</strong> nestas condições são mais caros. Ainda é relevante o fato das<br />

características dos dispositivos mudarem com o envelhecimento, diminuindo o<br />

<strong>de</strong>sempenho do circuito ao longo do tempo.<br />

Para comparar o sinal <strong>de</strong> áudio é utilizado <strong>um</strong> circuito comparador como o<br />

mostrado na Figura 9, que é <strong>um</strong> <strong>amplificador</strong> operacional configurado para trabalhar<br />

sempre em saturação positiva ou negativa. Se, n<strong>um</strong> <strong>de</strong>terminado instante <strong>de</strong> tempo, o sinal<br />

<strong>de</strong> áudio for maior que a onda triangular, o comparador fornece nível alto na saída, e, se o<br />

sinal for menor, a saída terá nível baixo.<br />

Fonte: [1]<br />

Figura 9 – Circuito modulador PWM.<br />

O circuito <strong>de</strong> chaveamento é responsável por agregar potência ao sinal <strong>de</strong> saída do<br />

comparador. Isto é feito através da conversão do sinal <strong>de</strong> tensão para indicação <strong>de</strong><br />

transistor em corte ou em condução plena.<br />

17


Para operar os transistores são utilizados pulsos <strong>de</strong> corrente <strong>de</strong> carga e <strong>de</strong>scarga nos<br />

seus gatilhos para comutar entre os estados, para garantir <strong>um</strong>a transição rápida. Desta<br />

maneira, o resultado do chaveamento é <strong>um</strong> PWM idêntico ao <strong>de</strong> entrada do módulo <strong>de</strong><br />

gatilhamento, porém com níveis <strong>de</strong> tensão e potência diferentes.<br />

O circuito <strong>de</strong> gatilhamento é composto por <strong>um</strong> chip que faz a conversão <strong>de</strong> sinal <strong>de</strong><br />

tensão em corrente para o acionamento dos transistores.<br />

Para esse chaveamento po<strong>de</strong>m ser utilizados transistores BJT ou MOSFETs.<br />

O BJT tem a vantagem <strong>de</strong> manter <strong>um</strong>a tensão pequena em seus terminais quando<br />

no estado <strong>de</strong> condução plena, mas apresenta <strong>um</strong>a gran<strong>de</strong> <strong>de</strong>svantagem quanto à velocida<strong>de</strong><br />

<strong>de</strong> comutação entre os estados <strong>de</strong> chave aberta e fechada. Eles não respon<strong>de</strong>m rapidamente<br />

para as freqüências da or<strong>de</strong>m <strong>de</strong> centenas <strong>de</strong> kilohertz, permanecendo durante <strong>um</strong> gran<strong>de</strong><br />

percentual do tempo na região ativa. Quando o transistor está na região ativa significa que<br />

há <strong>um</strong>a diferença <strong>de</strong> potencial em seus terminais maior que em condução plena, logo <strong>um</strong><br />

gran<strong>de</strong> aquecimento.<br />

Já o MOSFET apresenta a característica <strong>de</strong> comportar-se como <strong>um</strong> resistor quando<br />

em modo <strong>de</strong> condução plena, o que po<strong>de</strong> ser consi<strong>de</strong>rado como <strong>de</strong>svantagem, se<br />

comparado com o BJT. Porém, sua resposta a altas freqüências é mais rápida para o<br />

chaveamento em condições <strong>de</strong> carga na or<strong>de</strong>m <strong>de</strong> alguns amperes.<br />

Pelo fato dos MOSFETs apresentarem <strong>um</strong>a transição <strong>de</strong> estados mais rápida que os<br />

BJTs e <strong>um</strong>a resistência <strong>de</strong> condução pequena – alguns miliohms – eles são indicados para<br />

esse tipo <strong>de</strong> aplicação.<br />

18


2.2.2 Amplificadores Classe D Implementados Digitalmente<br />

Um <strong>amplificador</strong> <strong>classe</strong> D implementado digitalmente (<strong>microprocessado</strong>) é<br />

composto pelos seguintes módulos:<br />

Fonte;<br />

Pré-Amplificador;<br />

Aquisição;<br />

Processamento;<br />

Gatilhamento;<br />

Filtro.<br />

A Fonte é o circuito responsável por disponibilizar a energia para o sistema em<br />

níveis <strong>de</strong> tensão pré-estabelecidos até <strong>de</strong>terminada potência.<br />

A primeira etapa do processo é a a<strong>de</strong>quação do sinal <strong>de</strong> entrada, através da<br />

filtragem, para a diminuição dos ruídos, a amplificação e o <strong>de</strong>slocamento do nível <strong>de</strong><br />

tensão do sinal <strong>de</strong> entrada para níveis a<strong>de</strong>quados à sua aquisição. Esta etapa é chamada <strong>de</strong><br />

Pré-Amplificação.<br />

O circuito <strong>de</strong> Aquisição é composto <strong>de</strong> <strong>um</strong> conversor analógico-digital (A/D), que<br />

possui <strong>um</strong>a taxa <strong>de</strong> amostragem e <strong>um</strong>a quantida<strong>de</strong> <strong>de</strong> níveis <strong>de</strong> quantização. Para <strong>um</strong>a<br />

resolução <strong>de</strong> “n” bits o circuito possui 2 n níveis <strong>de</strong> quantização. E em sua saída ele fornece<br />

<strong>um</strong> valor <strong>de</strong> “n” bits, referente ao nível <strong>de</strong> tensão da entrada.<br />

Para <strong>um</strong> conversor A/D <strong>de</strong> 8 bits <strong>de</strong> resolução (256 níveis <strong>de</strong> quantização) é<br />

mostrado na Figura 10 e na Figura 11 <strong>um</strong> exemplo do processo <strong>de</strong> amostragem e<br />

quantização para a obtenção <strong>de</strong> <strong>um</strong> sinal digital. A Figura 10 mostra <strong>um</strong> sinal aplicado à<br />

entrada do conversor e os momentos <strong>de</strong> amostragem estão <strong>de</strong>stacados. O resultado da<br />

amostragem e quantização po<strong>de</strong> ser visto a Figura 11.<br />

Figura 10 – Sinal aplicado à entrada do conversor A/D <strong>de</strong> 8 bits.<br />

Fonte: [2]<br />

19


Fonte: [2]<br />

Figura 11 – Valor quantizado do sinal amostrado.<br />

A linearida<strong>de</strong> <strong>de</strong>ste <strong>amplificador</strong> está diretamente ligada à qualida<strong>de</strong> do conversor<br />

A/D. Cada nível <strong>de</strong> quantização <strong>de</strong>ve ser igual aos <strong>de</strong>mais para que todos possuam o<br />

mesmo tamanho. Com isso, cada valor da conversão A/D po<strong>de</strong>rá ser reproduzido na saída<br />

do <strong>amplificador</strong> com a máxima verossimilhança possível.<br />

O Processador do sinal é o circuito digital capaz <strong>de</strong> gerar <strong>um</strong> sinal PWM a partir do<br />

valor <strong>de</strong> tensão quantizado recebido do circuito <strong>de</strong> aquisição. Isto é feito manipulando o<br />

tempo <strong>de</strong> sinal alto na saída do módulo.<br />

O processador, tendo a condição <strong>de</strong> controlar a taxa <strong>de</strong> amostragem, po<strong>de</strong> promover<br />

modificações nas configurações do sistema. Isso sem a necessida<strong>de</strong> <strong>de</strong> troca ou<br />

modificação <strong>de</strong> componentes do circuito, bastando regravar o programa do processador.<br />

Seguindo o exemplo <strong>de</strong> amostragem e quantização, o módulo <strong>de</strong> processamento<br />

recebe <strong>um</strong> sinal <strong>de</strong> 8 bits para cada valor amostrado, e utiliza-o para gerar o PWM. Na<br />

Figura 12 é mostrado <strong>um</strong> exemplo para os valores <strong>de</strong> quantização <strong>de</strong> 165 e 128<br />

respectivamente.<br />

Figura 12 – Exemplo <strong>de</strong> geração do sinal PWM a partir do sinal quantizado.<br />

A geração <strong>de</strong>ste PWM é feita através da contagem <strong>de</strong> zero até o valor máximo do<br />

sinal digital <strong>de</strong> entrada e da comparação do valor <strong>de</strong>ste contador com o do sinal. Quando<br />

eles são iguais a saída troca para valor baixo e sempre que a contagem é reiniciada o sinal<br />

volta a ser alto.<br />

20


É importante o fato <strong>de</strong> o PWM não po<strong>de</strong>r ass<strong>um</strong>ir os valores <strong>de</strong> 0% e 100%, pois<br />

nessas duas condições não haverá mudança no valor do sinal ao longo do período da onda,<br />

<strong>de</strong>scaracterizando sua freqüência. O resultado é apenas <strong>um</strong>a componente <strong>de</strong> corrente<br />

contínua.<br />

A freqüência máxima para o PWM é dada pelo relógio do processador dividido<br />

pelo valor máximo do valor <strong>de</strong> entrada. Para <strong>um</strong> relógio com freqüência <strong>de</strong> 256 MHz e<br />

<strong>um</strong>a resolução <strong>de</strong> 8 bits, a freqüência máxima do PWM será <strong>de</strong> 1 MHz.<br />

Portanto, a freqüência do sinal PWM gerado é resultado <strong>de</strong> <strong>um</strong> compromisso entre<br />

a resolução e a freqüência <strong>de</strong> trabalho. Para cada bit <strong>de</strong> resolução que é acrescentado a<br />

freqüência <strong>de</strong> trabalho cai à meta<strong>de</strong>. Portanto, para altas freqüências ou gran<strong>de</strong> resolução<br />

(16 bits) são necessários processadores muito rápidos para o processo <strong>de</strong> modulação.<br />

Para produzir <strong>um</strong> sinal modulado <strong>de</strong> boa qualida<strong>de</strong> é necessário <strong>um</strong> conversor A/D<br />

<strong>de</strong> boa linearida<strong>de</strong>. Comparando com a implementação analógica, este mo<strong>de</strong>lo possui <strong>um</strong>a<br />

quantida<strong>de</strong> <strong>de</strong> exigências menor. Os circuitos digitais apresentam gran<strong>de</strong> capacida<strong>de</strong> <strong>de</strong><br />

processamento sem comprometimento da linearida<strong>de</strong> e <strong>um</strong>a perda <strong>de</strong> <strong>de</strong>sempenho muito<br />

menor com o envelhecimento.<br />

Ainda é interessante ressaltar que quando o sinal digital é recebido, ele ainda po<strong>de</strong><br />

ser processado digitalmente, para diversos fins, antes <strong>de</strong> ser enviado ao próximo estágio.<br />

Dentre os vários tipos <strong>de</strong> processamento digital po<strong>de</strong>mos citar: a filtragem para atenuar ou<br />

amplificar <strong>de</strong>terminadas componentes <strong>de</strong> freqüência, a geração <strong>de</strong> efeitos para sinais <strong>de</strong><br />

áudio e o acionamento <strong>de</strong> banco <strong>de</strong> LEDs, como em letreiros l<strong>um</strong>inosos ou medidores <strong>de</strong><br />

intensida<strong>de</strong> <strong>de</strong> sinal.<br />

Depois <strong>de</strong> gerado, o sinal PWM é então enviado ao circuito <strong>de</strong> gatilhamento, já<br />

mostrado anteriormente e com<strong>um</strong> aos dois tipos <strong>de</strong> <strong>amplificador</strong>es <strong>classe</strong> D, o analógico e<br />

o digital.<br />

21


3 DESENVOLVIMENTO<br />

3.1 Dimensionamento dos Componentes<br />

Para se implementar <strong>um</strong> <strong>amplificador</strong> <strong>classe</strong> D digitalmente <strong>de</strong>termina-se as<br />

especificações <strong>de</strong> cada módulo <strong>de</strong> acordo com as exigências e restrições seguintes:<br />

Capacida<strong>de</strong> <strong>de</strong> Fornecimento <strong>de</strong> Potência à Carga <strong>de</strong> 50 Watts;<br />

Rendimento do Sistema Superior a 85%;<br />

Taxa <strong>de</strong> Distorção Harmônica Total (THD) do Sinal <strong>de</strong> Saída inferior a 1%;<br />

Taxa <strong>de</strong> Amostragem do Sinal <strong>de</strong> Entrada <strong>de</strong> 48 kHz;<br />

Resolução mínima <strong>de</strong> 8 bits para o conversor analógico-digital (A/D);<br />

Freqüência do Sinal <strong>de</strong> Saída <strong>de</strong>ve estar no mínimo entre 100 Hz e 10 kHz;<br />

Intensida<strong>de</strong> Máxima do Sinal <strong>de</strong> Entrada <strong>de</strong> 1 V <strong>de</strong> pico a pico;<br />

Alto-falante <strong>de</strong> 4 Ohms.<br />

A potência mínima da fonte <strong>de</strong>ve ser superior a entregue à carga. Portanto, para<br />

<strong>um</strong>a potência <strong>de</strong> 50 Watts senoidal n<strong>um</strong>a carga <strong>de</strong> 4 Ohms é necessária <strong>um</strong>a tensão <strong>de</strong> 40<br />

Volts <strong>de</strong> pico a pico. Para <strong>um</strong> melhor <strong>de</strong>sempenho do sistema será utilizada <strong>um</strong>a fonte <strong>de</strong><br />

tensão <strong>de</strong> 40 Volts (50 Watts) exclusiva para a carga e outra <strong>de</strong> 12 Volts (5 Watts) para os<br />

módulos <strong>de</strong> pré-amplificação, processamento e gatilhamento.<br />

O módulo <strong>de</strong> aquisição e processamento po<strong>de</strong> ser implementado com apenas <strong>um</strong><br />

chip, o microcontrolador “LPC2138”, padrão ARM7, da Philips. Esse microcontrolador<br />

possui <strong>um</strong>a arquitetura RISC com <strong>um</strong>a unida<strong>de</strong> <strong>de</strong> processamento central (CPU) <strong>de</strong> 32 bits,<br />

blocos <strong>de</strong> memória FLASH e SRAM, módulo <strong>de</strong> controle <strong>de</strong> interrupção, comunicação,<br />

conversão A/D, geração <strong>de</strong> PWM, temporizadores e relógio <strong>de</strong> tempo real.<br />

Figura 13 – Chip LPC2138 da Philips.<br />

A escolha <strong>de</strong>sse microcontrolador levou em consi<strong>de</strong>ração as seguintes<br />

características:<br />

Engloba o módulo <strong>de</strong> aquisição e processamento n<strong>um</strong> único chip;<br />

22


Possui capacida<strong>de</strong> <strong>de</strong> processamento <strong>de</strong> 60 milhões <strong>de</strong> instruções por segundo,<br />

superior ao mínimo necessário para o projeto e a<strong>de</strong>quado para sua reutilização em<br />

projetos futuros;<br />

O módulo <strong>de</strong> aquisição aten<strong>de</strong> à exigência <strong>de</strong> 48 mil amostras por segundo com<br />

<strong>um</strong>a resolução <strong>de</strong> até 10 bits <strong>de</strong> resolução;<br />

Possui temporizadores para sincronizar as amostras do sinal, garantindo intervalos<br />

constantes entre cada amostra e, conseqüentemente, <strong>um</strong>a taxa exata <strong>de</strong> 48 kHz;<br />

Possui <strong>um</strong> módulo interno <strong>de</strong>dicado à geração <strong>de</strong> sinal PWM in<strong>de</strong>pen<strong>de</strong>nte da<br />

CPU, ou seja, ao mesmo tempo em que são executadas instruções no processador<br />

também são gerados os sinais PWM;<br />

Menor custo em relação aos <strong>de</strong>mais, inclusive aos <strong>de</strong> menor capacida<strong>de</strong> <strong>de</strong><br />

processamento;<br />

Fácil programação, utilizando a porta serial ou a interface JTAG (não é necessário<br />

<strong>de</strong>sligar ou remover o chip do circuito para reprogramá-lo);<br />

Disponibilida<strong>de</strong> <strong>de</strong> <strong>um</strong> Kit da Keil que possui o microcontrolador no laboratório<br />

CISNE.<br />

Como o conversor A/D do LPC2138 trabalha alimentado com 3,3 volts e suporta<br />

no máximo 5 volts, é necessário que o módulo pré-<strong>amplificador</strong> tenha <strong>um</strong> ajuste <strong>de</strong> ganho<br />

<strong>de</strong> modo a proporcionar <strong>um</strong>a saída máxima <strong>de</strong> 3,3 V <strong>de</strong> pico a pico e tensão mínima<br />

sempre maior ou igual a zero – <strong>um</strong> valor <strong>de</strong> tensão alternado somado a <strong>um</strong>a constante.<br />

O circuito pré-<strong>amplificador</strong> <strong>de</strong>ve possuir <strong>um</strong>a banda passante que vai <strong>de</strong> 100 Hz até<br />

10 kHz e rejeitar sinais com freqüências inferiores a 20 Hz e superiores a 20 kHz, pelo fato<br />

da faixa audível da maioria das pessoas variar <strong>de</strong>ntro da faixa <strong>de</strong> 20 Hz a 20 kHz.<br />

Já que o <strong>amplificador</strong> po<strong>de</strong>rá ser utilizado com vários equipamentos <strong>de</strong> som<br />

diferentes, é necessário que a impedância <strong>de</strong> entrada do pré-<strong>amplificador</strong> seja da or<strong>de</strong>m <strong>de</strong><br />

megaohms, para que não interfira no equipamento que estará ligado na sua entrada.<br />

Com base nas exigências do sistema, foi elaborado o circuito esquematizado na<br />

Figura 14 para o módulo pré-<strong>amplificador</strong>, com alta impedância <strong>de</strong> entrada, baixo THD<br />

(menor que 1%), ajuste <strong>de</strong> ganho para a<strong>de</strong>quar os níveis <strong>de</strong> tensão do sinal <strong>de</strong> entrada com<br />

a conversão A/D e que se comporte como <strong>um</strong> filtro passa-banda.<br />

O circuito proposto apresenta <strong>um</strong>a impedância <strong>de</strong> entrada <strong>de</strong> 4 MΩ, 2,2 kΩ <strong>de</strong><br />

saída, ganho variável entre 3 e 10 V/V e três filtros.<br />

O primeiro <strong>de</strong>sses filtros, formado pelo capacitor “C1” e pelos resistores “R1A” e<br />

“R1B”, é <strong>um</strong> filtro passa-altas com freqüência <strong>de</strong> corte <strong>de</strong> 15 Hz. O segundo, composto<br />

23


pelo <strong>amplificador</strong> operacional, é <strong>um</strong> filtro ativo passa-baixas com freqüência <strong>de</strong> corte <strong>de</strong><br />

15 kHz. E o terceiro é <strong>um</strong> filtro passa-banda <strong>de</strong> segunda or<strong>de</strong>m, composto pelos<br />

componentes “CF”, “C2”, “R4A”, “R4B” e “RF”, com banda passante <strong>de</strong> 30 Hz até 15<br />

kHz.<br />

Figura 14 – Circuito elaborado para o Pré-Amplificador.<br />

O circuito apresenta dois diodos <strong>de</strong> proteção para que a tensão <strong>de</strong> saída esteja<br />

sempre entre -0,7 V e 4 V, pois o conversor analógico-digital só suporta valores <strong>de</strong> tensão<br />

<strong>de</strong>ntro <strong>de</strong>ssa faixa.<br />

Todo o sistema <strong>de</strong> aquisição, processamento e geração do sinal PWM foi<br />

implementado utilizando o “LPC2138” do Kit “MCB2130” da Keil. E para a elaboração do<br />

programa microcontrolador foi utilizado ambiente <strong>de</strong> <strong>de</strong>senvolvimento em linguagem <strong>de</strong><br />

programação C, também da Keil, em <strong>um</strong> computador disponível no laboratório CISNE.<br />

Optou-se por fazer todos os testes <strong>de</strong> <strong>de</strong>sempenho utilizando este Kit, antes <strong>de</strong><br />

projetar <strong>um</strong>a placa para este módulo. Como o Kit estava disponível para a utilização<br />

imediata, ele representou <strong>um</strong>a economia <strong>de</strong> tempo.<br />

Para confeccionar a placa <strong>de</strong>sse módulo foi necessário esperar o tempo da compra e<br />

entrega dos componentes, que foi <strong>de</strong> aproximadamente 1 mês e meio. Uma vez que o<br />

microcontrolador é importado, sua entrega leva no mínimo três semanas.<br />

O módulo <strong>de</strong> aquisição está programado para amostrar o sinal <strong>de</strong> entrada 48 mil<br />

vezes por segundo, com as opções <strong>de</strong> 8, 9 e 10 bits. E o módulo gerador do sinal PWM,<br />

para funcionar em sincronismo com as aquisições, inclusive com a mesma resolução.<br />

Cada amostragem é iniciada por <strong>um</strong> pedido <strong>de</strong> interrupção gerado pelo<br />

temporizador interno do microcontrolador. Após o valor da conversão estar disponível, o<br />

24


processador carrega este valor no módulo PWM. A resolução <strong>de</strong> <strong>um</strong> sinal modulado por<br />

largura <strong>de</strong> pulso é dada pelo período do sinal medido em quantida<strong>de</strong> <strong>de</strong> ciclos <strong>de</strong> relógio.<br />

Portanto, para <strong>um</strong>a resolução <strong>de</strong> 10 bits (1024 níveis <strong>de</strong> quantização) é necessária <strong>um</strong>a<br />

contagem <strong>de</strong> 1024 ciclos <strong>de</strong> relógio.<br />

O circuito <strong>de</strong> gatilhamento <strong>de</strong>ve ser capaz <strong>de</strong> i<strong>de</strong>ntificar sinais digitais que po<strong>de</strong>m<br />

ser 0 volt (nível lógico zero) e 3,3 volts (nível lógico <strong>um</strong>) e acionar os transistores para que<br />

eles troquem <strong>de</strong> estado, <strong>de</strong> chave aberta para fechada e vice-versa.<br />

Os MOSFETs po<strong>de</strong>m ser organizados <strong>de</strong> duas maneiras diferentes para executar o<br />

gatilhamento. A primeira é com <strong>um</strong> MOSFET canal P ligado ao pólo positivo da fonte e<br />

<strong>um</strong> canal N ligado ao negativo. O principal problema para esta configuração está no<br />

gatilhamento do transistor canal P. Sua resistência <strong>de</strong> condução é aproximadamente o<br />

dobro da resistência <strong>de</strong> <strong>um</strong> equivalente canal N, o que ocasiona <strong>um</strong> maior aquecimento <strong>de</strong><br />

<strong>um</strong> transistor em relação ao outro.<br />

Além disso, é necessário elevar o potencial do gatilho até igualar o da fonte <strong>de</strong> 40<br />

volts. Como todos os módulos são alimentados com 12 volts, é necessário que a parte do<br />

circuito que acionará o transistor canal P esteja associada a <strong>um</strong> potencial fora do circuito.<br />

Esse tipo <strong>de</strong> acionamento exige circuitos complexos, capazes <strong>de</strong> trabalhar referenciados a<br />

<strong>um</strong> potencial externo.<br />

A segunda maneira é utilizar dois MOSFETs canal N. Desta maneira tem-se <strong>um</strong>a<br />

ponte equilibrada, mas que ainda apresenta o problema do acionamento do transistor ligado<br />

ao pólo positivo da fonte. Entretanto, para acionar o transistor canal N, o gatilho está<br />

referenciado ao terminal <strong>de</strong> saída do circuito e não à fonte [3]. Dessa forma, o circuito que<br />

irá acioná-lo po<strong>de</strong> estar no mesmo potencial <strong>de</strong> todo o resto do circuito, bastando apenas<br />

fazer <strong>um</strong> <strong>de</strong>slocamento <strong>de</strong> nível <strong>de</strong> tensão.<br />

Então foi escolhido para o módulo <strong>de</strong> gatilhamento o “IR2011” [10] da<br />

“International Rectifier” (IRF). Ele foi projetado para acionar dois MOSFETs canal N,<br />

apresenta os tempos <strong>de</strong> atraso <strong>de</strong> propagação iguais para ambos os canais, boa capacida<strong>de</strong><br />

<strong>de</strong> carga <strong>de</strong> gatilho e é compatível com sinais <strong>de</strong> 0 e 3,3 volts.<br />

A escolha dos MOSFETs <strong>de</strong>ve consi<strong>de</strong>rar principalmente: capacida<strong>de</strong> <strong>de</strong> condução<br />

<strong>de</strong> corrente, tensão <strong>de</strong> isolamento, resistência <strong>de</strong> condução, capacitância <strong>de</strong> gatilho e entre<br />

dreno e fonte. Como ele <strong>de</strong>ve suportar <strong>um</strong>a corrente mínima <strong>de</strong> 1,25 Amperes, todos os<br />

transistores <strong>de</strong> potência aten<strong>de</strong>m a este quesito. Para a tensão <strong>de</strong> isolamento, foi utilizado<br />

como referência o “aplication note 1070” da IRF [4] que <strong>de</strong>termina <strong>um</strong>a tensão <strong>de</strong> isolação<br />

mínima <strong>de</strong> 52 volts para cada transistor.<br />

25


A resistência <strong>de</strong> condução é o valor mínimo <strong>de</strong> resistência apresentada pelo<br />

transistor quando trabalha no estado <strong>de</strong> chave fechada. Esse valor transita <strong>de</strong> alguns<br />

megaohms, quando o seu gatilho está <strong>de</strong>scarregado, para o valor da resistência <strong>de</strong><br />

condução, quando o gatilho está completamente carregado. Ela representa, no circuito,<br />

<strong>um</strong>a resistência em série com a carga. Portanto, para <strong>um</strong>a boa transferência <strong>de</strong> potência<br />

acompanhada <strong>de</strong> alto rendimento é necessária <strong>um</strong>a baixa resistência <strong>de</strong> condução.<br />

A capacitância do gatilho é <strong>de</strong>terminante para seu aquecimento, porque quanto<br />

maior a capacitância maior é o tempo <strong>de</strong> carga. Durante o tempo <strong>de</strong> carga do gatilho, a<br />

resistência <strong>de</strong> condução do transistor possui valores muito altos em relação à carga. Isso<br />

faz com que a maior parte da energia cedida pela fonte seja dissipada no transistor. A<br />

maior perda <strong>de</strong> potência do processo <strong>de</strong> gatilhamento ocorre nesse instante <strong>de</strong> tempo. Por<br />

isso, é importante buscar o transistor com a menor capacitância <strong>de</strong> gatilho possível.<br />

A capacitância entre dreno e fonte é importante no momento que o transistor<br />

comuta do estado <strong>de</strong> chave fechada para aberta. Nesse momento, a interrupção da corrente<br />

se dará quando esta capacitância for carregada. Portanto, quanto menor for essa gran<strong>de</strong>za<br />

mais rápida é a comutação.<br />

Dentre essas características, o mo<strong>de</strong>lo que apresentou o melhor conjunto foi o<br />

“IRLZ34N” [9], o qual tem capacida<strong>de</strong> <strong>de</strong> condução <strong>de</strong> corrente <strong>de</strong> 30 Amperes, tensão <strong>de</strong><br />

isolamento <strong>de</strong> 55 Volts, resistência <strong>de</strong> condução <strong>de</strong> 35 miliohms, capacitância <strong>de</strong> gatilho <strong>de</strong><br />

880 pF e capacitância entre dreno e fonte <strong>de</strong> 220 pF.<br />

Antes <strong>de</strong> alimentar a carga com o sinal amplificado é necessário <strong>de</strong>modulá-lo. Esta<br />

<strong>de</strong>modulação é feita por <strong>um</strong> filtro passa-baixas que <strong>de</strong>ve atenuar as freqüências superiores<br />

a 10 kHz. Os filtros passivos são os únicos capazes <strong>de</strong> agregar potência e alto rendimento,<br />

e portanto representam a única opção <strong>de</strong> <strong>de</strong>moduladores para o sistema.<br />

O sinal <strong>de</strong>modulado possui <strong>um</strong>a tensão máxima <strong>de</strong> 40 volts <strong>de</strong> pico a pico e valor<br />

médio igual a zero, logo sua tensão eficaz é <strong>de</strong> 14,14 Volts e a corrente eficaz máxima que<br />

circula na carga é <strong>de</strong> 3,5 Amperes. Portanto, todo o circuito do filtro <strong>de</strong>ve suportar no<br />

mínimo esse valor <strong>de</strong> corrente.<br />

A principal restrição quanto à or<strong>de</strong>m do filtro é o número <strong>de</strong> indutores. Geralmente<br />

são gran<strong>de</strong>s, pesados e adicionam <strong>um</strong>a resistência em série com a carga. Então, optou-se<br />

por utilizar o filtro com apenas <strong>um</strong> indutor, para minimizar os custos e o tamanho do<br />

circuito.<br />

Dessa forma, o filtro po<strong>de</strong>ria ser no máximo <strong>de</strong> terceira or<strong>de</strong>m, com <strong>um</strong> capacitor<br />

<strong>de</strong> entrada e <strong>um</strong> <strong>de</strong> saída ligados à referência <strong>de</strong> potencial. Mas como o chaveamento<br />

26


possui harmônicas <strong>de</strong> alta freqüência, o capacitor <strong>de</strong> entrada comporta-se como <strong>um</strong> curtocircuito<br />

para a referência e sobrecarregará os transistores. Então, o filtro po<strong>de</strong>rá ser apenas<br />

<strong>de</strong> segunda or<strong>de</strong>m.<br />

Dentre os filtros passivos <strong>de</strong> segunda or<strong>de</strong>m existentes na literatura, o escolhido foi<br />

o “LC sintonizado” por apresentar maior atenuação na banda <strong>de</strong> rejeição.<br />

É interessante citar que a portadora PWM será filtrada promovendo a circulação <strong>de</strong><br />

<strong>um</strong>a corrente reativa no filtro, po<strong>de</strong>ndo ser relevante se comparada com a corrente da carga<br />

(ativa). Portanto, para dimensionar os elementos do filtro <strong>de</strong>ve-se consi<strong>de</strong>rar a corrente<br />

aparente (ativa mais reativa).<br />

O filtro LC sintonizado <strong>de</strong> segunda or<strong>de</strong>m mostrado na Figura 15 po<strong>de</strong> ser<br />

dimensionado através das equações:<br />

f LC<br />

1<br />

= , (3)<br />

2π<br />

LC<br />

on<strong>de</strong>:<br />

f LC freqüência <strong>de</strong> ressonância;<br />

R Valor da impedância da carga;<br />

L Valor da indutância do indutor;<br />

C Valor da capacitância do capacitor C.<br />

L<br />

R = , (4)<br />

C<br />

Figura 15 – Filtro LC Sintonizado <strong>de</strong> Segunda Or<strong>de</strong>m.<br />

A configuração formada pela bobina “L” e pelo capacitor “C” elimina as<br />

componentes <strong>de</strong> alta freqüência do sinal, mas não impe<strong>de</strong> a passagem <strong>de</strong> corrente contínua.<br />

E como este filtro <strong>de</strong>ve permitir que a carga “R” seja alimentada apenas com sinal <strong>de</strong> valor<br />

médio zero, o capacitor “CA” está colocado <strong>de</strong> modo a compor <strong>um</strong> filtro passa-altas, para<br />

evitar que exista <strong>um</strong> valor <strong>de</strong> tensão DC na carga.<br />

O filtro passa-altas configurado por “CA” e “R” possui <strong>um</strong>a freqüência <strong>de</strong> corte<br />

que po<strong>de</strong> ser calculada através da equação:<br />

27


f 1<br />

= c 2π<br />

. R . . (5)<br />

CA<br />

Como o filtro “LC sintonizado” escolhido <strong>de</strong>ve apresentar <strong>um</strong>a freqüência <strong>de</strong> corte<br />

superior a 10 kHz e o alto-falante <strong>de</strong>ve ter 4 Ohms, foram calculados os valores teóricos<br />

para o capacitor e para o indutor aplicando-se as equações (3) e (4), que são: f LC = 17 kHz,<br />

C = 2,2 µF, R = 4 Ω, e L = 40 µH.<br />

Para que este filtro tenha <strong>um</strong>a influência pequena sobre o sinal <strong>de</strong> áudio, a<br />

freqüência <strong>de</strong> corte inferior <strong>de</strong>ve ser menor que 100 Hz. Então, o valor mínimo <strong>de</strong> “CA”<br />

<strong>de</strong>ve ser <strong>de</strong> 400 microfarads, com 40 Volts <strong>de</strong> tensão <strong>de</strong> isolação do dielétrico, que é a<br />

tensão <strong>de</strong> chaveamento.<br />

3.2 Implementação dos Módulos<br />

A disponibilida<strong>de</strong> <strong>de</strong> <strong>um</strong>a fonte externa para alimentar o sistema é <strong>um</strong>a das<br />

premissas do projeto, bastando apenas escolher as tensões <strong>de</strong> alimentação e a potência<br />

necessárias.<br />

A primeira etapa foi a implementação dos módulos individuais <strong>de</strong> acordo com o<br />

dimensionamento proposto. A partir dos esquemáticos dos circuitos elaborados, foram<br />

roteadas as placas para sua confecção.<br />

A primeira placa <strong>de</strong> circuito impresso confeccionada foi a do pré-<strong>amplificador</strong>. Ela<br />

foi roteada conforme o circuito da Figura 14 e seu resultado é mostrado na Figura 16.<br />

Figura 16 – Placa <strong>de</strong> Circuito Impresso do Pré-Amplificador.<br />

Este circuito foi ensaiado para a obtenção <strong>de</strong> sua função <strong>de</strong> transferência. O ensaio<br />

consistiu em aplicar <strong>um</strong> sinal <strong>de</strong> amplitu<strong>de</strong> e freqüência conhecidas e medir a amplitu<strong>de</strong> do<br />

28


sinal na saída do módulo. Aplicando-se vários sinais <strong>de</strong> freqüências diferentes foi obtido<br />

<strong>um</strong> valor <strong>de</strong> atenuação para cada sinal. Com a junção <strong>de</strong>sses dados po<strong>de</strong> ser elaborado o<br />

gráfico do módulo <strong>de</strong> sua resposta em freqüência.<br />

A Figura 17 mostra que a banda passante do circuito é <strong>de</strong> 110 Hz até 10 kHz,<br />

aproximadamente. O circuito apresenta <strong>um</strong>a atenuação maior que 9 dB para freqüências<br />

superiores a 20 kHz e maior que 21 dB para freqüências inferiores a 20 Hz.<br />

Figura 17 – Gráfico do Módulo da Resposta em Freqüência do Pré-Amplificador.<br />

A curva <strong>de</strong> menor ganho foi obtida com o ajuste <strong>de</strong> ganho <strong>de</strong> tensão em 2,8 V/V<br />

(mínimo) e a curva <strong>de</strong> maior ganho foi obtida com o ajuste em 6 V/V.<br />

Os valores práticos obtidos para os elementos do filtro foram: f LC = 18.556 Hz,<br />

C = 2,12 µF, R = 4 Ω, e L = 34,7 µH. E para <strong>um</strong> valor <strong>de</strong> “CA” igual a 470 uF e <strong>de</strong> acordo<br />

com a equação (5), teremos <strong>um</strong>a freqüência <strong>de</strong> corte inferior <strong>de</strong> aproximadamente 85 Hz.<br />

A partir dos componentes <strong>de</strong>finidos no dimensionamento, foi confeccionada <strong>um</strong>a<br />

única placa com os módulos <strong>de</strong> Gatilhamento e Filtro, mostrado na Figura 18.<br />

Através <strong>de</strong> <strong>um</strong> ensaio, que consiste em aplicar vários sinais <strong>de</strong> freqüências<br />

diferentes na entrada do circuito e medir a amplitu<strong>de</strong> do sinal na saída, foi obtido o módulo<br />

da resposta em freqüência do filtro, com <strong>um</strong> alto-falante <strong>de</strong> 4 Ohms como carga. Esta<br />

caracteristica é mostrada na Figura 19.<br />

29


Figura 18 – Placa <strong>de</strong> Circuito Impresso dos Módulos <strong>de</strong> Gatilhamento e Filtro.<br />

A curva apresenta <strong>um</strong>a banda passante <strong>de</strong> 45 Hz até 28 kHz, e variações <strong>de</strong><br />

atenuação no intervalo <strong>de</strong> 80 até 200 Hz e <strong>de</strong> 10 até 23 kHz, que fazem parte da banda<br />

passante do filtro. Isto indica que os sinais que possuem freqüência <strong>de</strong>ntro <strong>de</strong>stes intervalos<br />

terão valores <strong>de</strong> atenuação diferentes dos <strong>de</strong>mais que também possuem freqüência <strong>de</strong>ntro<br />

da banda passante.<br />

Ganho [dB]<br />

6,0<br />

3,0<br />

0,0<br />

-3,0<br />

-6,0<br />

-9,0<br />

-12,0<br />

-15,0<br />

-18,0<br />

-21,0<br />

-24,0<br />

10 100 1.000 10.000 100.000<br />

Freqüência [Hz]<br />

Figura 19 – Gráfico do Módulo da Resposta em Freqüência do Filtro.<br />

Também foram <strong>de</strong>tectadas alterações na forma <strong>de</strong> onda dos sinais <strong>de</strong> 10 até 20 kHz.<br />

Nessa região ocorreu a geração <strong>de</strong> componentes harmônicas cujas amplitu<strong>de</strong>s são da<br />

mesma or<strong>de</strong>m <strong>de</strong> gran<strong>de</strong>za do sinal, implicando n<strong>um</strong>a distorção significativa do sinal<br />

original.<br />

30


O módulo <strong>de</strong> Filtro com carga resistiva <strong>de</strong> 4 Ohms apresentou <strong>um</strong>a banda passante,<br />

<strong>de</strong> acordo com a Figura 20, que vai <strong>de</strong> 75 Hz até 25 kHz. A curva não apresenta as mesmas<br />

variações <strong>de</strong> atenuação na banda passante, em relação à situação anterior, pelo fato da<br />

carga ser resistiva.<br />

3,0<br />

0,0<br />

Ganho [dB]<br />

-3,0<br />

-6,0<br />

-9,0<br />

-12,0<br />

-15,0<br />

-18,0<br />

10 100 1.000 10.000 100.000<br />

Freqüência [Hz]<br />

Figura 20 – Gráfico do Módulo da Resposta em Freqüência do Filtro com o Resistor.<br />

Este último ensaio não representa <strong>um</strong>a situação real porque todo <strong>amplificador</strong> é<br />

ligado em <strong>um</strong> alto-falante. E todo alto-falante possui impedância composta por <strong>um</strong>a parte<br />

indutiva e outra resistiva. Portanto, este ensaio objetiva analisar o comportamento do filtro<br />

em diferentes condições <strong>de</strong> carga.<br />

Por não possuir <strong>um</strong>a carga com característica indutiva, o circuito apresentou <strong>um</strong>a<br />

única freqüência <strong>de</strong> ressonância, que está próxima a 14 kHz, mas não apresentou a mesma<br />

não-linearida<strong>de</strong> que na situação anterior. O efeito <strong>de</strong>ssa ressonância po<strong>de</strong> ser percebido<br />

pelo a<strong>um</strong>ento do ganho do filtro nas freqüências <strong>de</strong> 7 até 18 kHz.<br />

O Kit, mostrado na Figura 21, possui <strong>um</strong>a interface com os níveis <strong>de</strong> tensão<br />

adaptados para a porta serial, que possibilita, quando conectado a <strong>um</strong> PC, a programação<br />

do microcontrolador utilizando o software “Flash Utility”, que acompanha o Kit. Também<br />

apresenta 8 LEDs ligados por “buffers” aos pinos <strong>de</strong> entrada e saída do chip, <strong>um</strong><br />

potenciômetro conectado a <strong>um</strong>a entrada A/D, <strong>um</strong> alto-falante pequeno ligado à saída<br />

digital-analógica via <strong>um</strong> <strong>amplificador</strong>, acesso a todos os pinos <strong>de</strong> entrada e saída do chip<br />

via “pinheads” e pinos <strong>de</strong> “3,3 volts” e “terra” para utilização <strong>de</strong> outros equipamentos que<br />

venham a ser ligados no Kit.<br />

31


Figura 21 – Kit MCB2130 da Keil que utiliza o LPC2138.<br />

Para o projeto foram utilizados do microcontrolador: <strong>um</strong>a entrada A/D, duas saídas<br />

PWM, a tensão <strong>de</strong> 3,3 volts disponível no Kit para o <strong>de</strong>slocamento <strong>de</strong> nível no estágio <strong>de</strong><br />

saída do pré-<strong>amplificador</strong> e a porta serial para a gravação do programa.<br />

32


4 RESULTADOS E TESTES<br />

Foram utilizados três <strong>amplificador</strong>es para <strong>um</strong> estudo comparativo com o sistema<br />

elaborado nesse projeto. O primeiro <strong>amplificador</strong> é <strong>um</strong> <strong>classe</strong> A. Ele apresenta potência<br />

nominal <strong>de</strong> saída <strong>de</strong> 18 watts e consome 100 watts da re<strong>de</strong> elétrica durante todo o tempo<br />

que permanece ligado, mesmo se não estiver tocando som alg<strong>um</strong>. Esse equipamento<br />

necessita <strong>de</strong> dissipadores <strong>de</strong> calor e ventiladores para manter sua temperatura <strong>de</strong>ntro da<br />

suportada pelo equipamento. A temperatura média durante sua utilização é<br />

aproximadamente <strong>de</strong> 60 graus Celsius.<br />

O segundo <strong>amplificador</strong> é <strong>um</strong> <strong>classe</strong> AB. Ele foi montado utilizando o chip<br />

“TDA2616Q” da Philips, e possui dois canais <strong>de</strong> saída com potência nominal <strong>de</strong> 12 watts<br />

por canal. Ele apresenta <strong>um</strong> dissipador <strong>de</strong> calor e em condições <strong>de</strong> máxima exigência <strong>de</strong><br />

potência atinge <strong>um</strong>a temperatura próxima a 70 graus Celsius.<br />

Também foi testado <strong>um</strong> <strong>amplificador</strong> <strong>classe</strong> D analógico implementado com<br />

<strong>amplificador</strong>es operacionais e comparadores, mostrado na Figura 22. Esse <strong>amplificador</strong> já<br />

estava implementado e disponível no laboratório para testes.<br />

Esse módulo foi ligado aos módulos do pré-<strong>amplificador</strong>, <strong>de</strong> gatilhamento e filtro.<br />

Ele gera <strong>um</strong>a onda triangular <strong>de</strong> 260 kHz com 1 Volt <strong>de</strong> pico a pico. Essa onda é<br />

comparada com o sinal <strong>de</strong> entrada e na saída do comparador é gerado o sinal PWM.<br />

Figura 22 – Circuito do Amplificador Classe D Implementado Analogicamente.<br />

A forma <strong>de</strong> onda do sinal PWM apresenta <strong>um</strong>a forma exponencial na subida do<br />

sinal. A saída do comparador é feita com <strong>um</strong> transistor bipolar na configuração “coletor<br />

aberto” e <strong>um</strong> resistor <strong>de</strong> pull-up.<br />

Dessa forma, a transição <strong>de</strong> <strong>de</strong>scida do sinal <strong>de</strong> saída é feita pelo transistor <strong>de</strong> saída,<br />

logo é bastante rápida comparada com o período da onda. Mas a transição <strong>de</strong> subida é feita<br />

pelo resistor <strong>de</strong> pull-up carregando a capacitância <strong>de</strong> saída e portanto terá forma <strong>de</strong> onda<br />

exponencial.<br />

33


Com este circuito não foi <strong>de</strong>tectado o problema do ruído residual. Quando não há<br />

sinal <strong>de</strong> áudio na entrada também não há nenh<strong>um</strong> tipo <strong>de</strong> ruído na saída.<br />

Em nenh<strong>um</strong> momento a fonte acusou curto-circuito e os transistores apresentaram<br />

<strong>um</strong> aquecimento menor que o teste anterior.<br />

Foi <strong>de</strong>tectada <strong>um</strong>a interferência do circuito <strong>de</strong> comparação no circuito <strong>de</strong> geração<br />

da onda triangular. A geração do sinal PWM provoca <strong>um</strong>a instabilida<strong>de</strong> na onda triangular:<br />

sua visualização no osciloscópio mostra alterações instantâneas <strong>de</strong> amplitu<strong>de</strong> e fase <strong>de</strong><br />

acordo com o sinal <strong>de</strong> áudio. A interferência se torna maior com o a<strong>um</strong>ento da intensida<strong>de</strong><br />

do sinal <strong>de</strong> áudio.<br />

O tempo <strong>de</strong> subida do sinal PWM, nesse circuito, é maior que o <strong>de</strong> <strong>de</strong>scida e isso<br />

faz a comutação dos transistores ocorrer em instantes diferentes, havendo então <strong>um</strong><br />

intervalo <strong>de</strong> tempo que ambos os transistores estão funcionando como chave aberta.<br />

4.1 Testes <strong>de</strong> Funcionamento do Amplificador Microprocessado<br />

No primeiro teste, o <strong>amplificador</strong> <strong>classe</strong> D foi montado como mostrado na Figura<br />

23, e foi utilizado <strong>um</strong> rádio FM como fonte <strong>de</strong> sinal <strong>de</strong> áudio para o <strong>amplificador</strong>.<br />

Figura 23 – Circuito completo do Amplificador Classe D Implementado Digitalmente.<br />

A fonte utilizada (canto superior direito da Figura 23) fornece os dois valores <strong>de</strong><br />

tensão e alimenta todo o sistema.<br />

34


O pré-<strong>amplificador</strong> (canto inferior esquerdo da Figura 23) recebe o sinal <strong>de</strong> áudio,<br />

amplifica tal sinal, <strong>de</strong>sloca seu nível e o entrega ao conversor A/D.<br />

O Kit (centro da Figura 23), ao receber esse sinal, faz sua amostragem e<br />

quantização. Em seguida, utiliza o valor da conversão para gerar o sinal PWM que é<br />

enviado para o próximo estágio. Esse sinal gerado possui <strong>um</strong>a forma <strong>de</strong> onda com a<br />

presença <strong>de</strong> harmônicas além daquelas que compõem normalmente o sinal, mas com<br />

amplitu<strong>de</strong>s muito pequenas, não comprometendo o funcionamento do sistema.<br />

O módulo <strong>de</strong> gatilhamento e filtro (canto superior esquerdo) recebe o sinal, gera os<br />

pulsos <strong>de</strong> corrente nos gatilhos dos dois MOSFETs, filtra o PWM <strong>de</strong> potência e entrega o<br />

sinal <strong>de</strong> áudio amplificado à carga (lado direito).<br />

O sistema funcionou e todos os estágios c<strong>um</strong>priram suas funções corretamente, mas<br />

o rendimento, o THD e as potências envolvidas no sistema não foram medidos nesse<br />

primeiro teste. Como a entrada recebe <strong>um</strong> sinal <strong>de</strong> áudio com amplitu<strong>de</strong> variável com o<br />

tempo, não foi exigida a potência máxima do <strong>amplificador</strong>.<br />

Foi <strong>de</strong>tectado <strong>um</strong> ruído residual na ausência <strong>de</strong> sinal <strong>de</strong> áudio. Mesmo quando o<br />

sinal era <strong>de</strong> baixa intensida<strong>de</strong> esse ruído pô<strong>de</strong> ser notado. Foi constatado que esse ruído<br />

in<strong>de</strong>pen<strong>de</strong> do sinal <strong>de</strong> entrada, pois à medida que a intensida<strong>de</strong> do sinal <strong>de</strong> saída a<strong>um</strong>enta<br />

sua percepção diminui.<br />

Após alguns testes com os módulos separados, <strong>de</strong>scobriu-se que este ruído se <strong>de</strong>ve<br />

a induções do PWM no pino <strong>de</strong> entrada do conversor A/D. Como este conversor apresenta<br />

alta impedância <strong>de</strong> entrada, mesmo sinais muito fracos são capazes <strong>de</strong> interferir no sinal<br />

original.<br />

Os MOSFETs apresentaram <strong>um</strong> pequeno aquecimento mesmo sem carga, mas não<br />

foi necessário utilizar dissipador. A proteção contra curto-circuito da fonte atuou durante<br />

todo tempo, mas <strong>de</strong> maneira pulsada. Esse fato atentou para <strong>um</strong> possível problema no<br />

chaveamento, pois a fonte acusara curto-circuito até na ausência <strong>de</strong> carga.<br />

O componente que apresentou o maior aquecimento foi o indutor do filtro,<br />

chegando a aproximadamente 50 graus Celsius.<br />

Mesmo sendo o elemento que apresentou o maior aquecimento, a temperatura<br />

atingida pelo indutor ainda é aceitável, pois ele é capaz <strong>de</strong> suportar até 70 graus Celsius<br />

sem qualquer dano ao isolamento.<br />

Antes <strong>de</strong> serem feitos os testes <strong>de</strong> rendimento já é possível notar que o nível <strong>de</strong><br />

dissipação <strong>de</strong> calor apresentado por esse sistema está muito abaixo dos níveis constatados<br />

nos <strong>amplificador</strong>es <strong>classe</strong> A e AB.<br />

35


Foi então elaborado <strong>um</strong> segundo teste para o <strong>amplificador</strong>. Nesse teste o programa<br />

foi alterado, visando corrigir o problema <strong>de</strong> comutação dos transistores. Como o transistor,<br />

em seu acionamento, comuta do estado <strong>de</strong> chave aberta para fechada mais rapidamente que<br />

do estado <strong>de</strong> chave fechada para aberta, acontecem instantes <strong>de</strong> tempo que ambos estão<br />

conduzindo.<br />

Uma tentativa adotada para solucionar o problema foi reservar <strong>um</strong> tempo entre as<br />

comutações para compensar o atraso do transistor. Para esse tempo é atribuído o nome<br />

<strong>de</strong>ad-time.<br />

Durante o teste foram experimentados vários valores <strong>de</strong> tempo diferentes, medidos<br />

os valores <strong>de</strong> corrente média fornecida pela fonte e verificado se a proteção contra curtocircuito<br />

atuava, sempre sem carga.<br />

O menor valor <strong>de</strong> <strong>de</strong>ad-time a partir do qual a corrente média permanece constante<br />

e a proteção contra curto-circuito não atua foi <strong>de</strong> 83 nanosegundos, ou 5 ciclos <strong>de</strong> relógio.<br />

Então, esse valor foi incorporado ao programa e o problema foi consi<strong>de</strong>rado resolvido.<br />

4.2 Medição da Taxa <strong>de</strong> Distorção Harmônica Total<br />

O procedimento consistiu em injetar <strong>um</strong> sinal senoidal com freqüência <strong>de</strong> 1 kHz na<br />

entrada do <strong>amplificador</strong> e medir a amplitu<strong>de</strong> da componente <strong>de</strong> 1 kHz na saída e <strong>de</strong> suas<br />

harmônicas <strong>de</strong> 2 até 7 kHz, conforme Tabela 1.<br />

Freqüência<br />

(kHz)<br />

Potência<br />

Normalizada<br />

(dB)<br />

1 24<br />

2 -13<br />

3 -15<br />

4 -24<br />

5 -30<br />

6 -27<br />

7 -24<br />

Tabela 1 – Valores medidos no teste para cálculo da THD.<br />

O valor da THD [7] é calculado somando-se as potências <strong>de</strong> todas as harmônicas<br />

geradas e dividindo-se pela potência da onda fundamental, nesse caso a onda <strong>de</strong> 1 kHz.<br />

Os valores das potências normalizadas foram calculados no osciloscópio digital, e<br />

representam o resultado do cálculo da equação<br />

36


V pico<br />

⎛ ⎞<br />

P = 20 ⋅ log<br />

⎜<br />

⎟<br />

10<br />

, (6)<br />

⎝ 2 ⎠<br />

on<strong>de</strong>: P Potência normalizada;<br />

V pico Tensão <strong>de</strong> pico da componente da harmônica.<br />

A THD calculada com os valores registrados na Tabela 1 é igual a 0,04%. A THD<br />

representa a potência total das componentes harmônicas em relação à onda fundamental.<br />

Para equipamentos <strong>de</strong> áudio <strong>de</strong>ve-se levar em consi<strong>de</strong>ração o fato do ouvido<br />

h<strong>um</strong>ano possuir <strong>um</strong>a sensibilida<strong>de</strong> diferente para cada freqüência do sinal sonoro [6].<br />

A sensibilida<strong>de</strong> do ouvido h<strong>um</strong>ano é diferente, também, <strong>de</strong> acordo com a<br />

intensida<strong>de</strong> do som e das condições acústicas do ambiente. Esses níveis foram divididos<br />

em quatro situações acústicas distintas, mostradas na Figura 24.<br />

Figura 24 – Curvas para as diferentes situações acústicas do ambiente.<br />

A condição “D” é utilizada para avaliar condições com perturbação <strong>de</strong> alta<br />

freqüência produzida por aeronaves. A condição “C” simula a resposta em freqüência para<br />

altos níveis. A condição “B” simula condições <strong>de</strong> conversação normal e a “A” simula a<br />

resposta para sons <strong>de</strong> baixa intensida<strong>de</strong>.<br />

Como o objetivo <strong>de</strong> calcularmos a THD é i<strong>de</strong>ntificar se as harmônicas geradas<br />

serão ou não audíveis, foi consi<strong>de</strong>rada a condição que resultará na pior THD. A situação<br />

“D” é a que apresenta o maior nível <strong>de</strong> percepção das componentes harmônicas, e portanto<br />

é a condição na qual o ouvido perceberá mais a presença ou não dos harmônicos.<br />

37


Freqüência<br />

(kHz)<br />

Potência<br />

Normalizada<br />

Corrigida (dB)<br />

1 24<br />

2 -5<br />

3 -3<br />

4 -12<br />

5 -20<br />

6 -19<br />

7 -17<br />

Tabela 2 – Valores adaptados <strong>de</strong> acordo com a situação “D”.<br />

Aplicando aos valores medidos as correções indicadas na situação “D” do gráfico<br />

foi gerada <strong>um</strong>a nova série <strong>de</strong> dados mostrada na Tabela 2.<br />

A nova THD calculada <strong>de</strong> acordo com a Tabela 2 é <strong>de</strong> 0,37%. Portanto, ambos os<br />

valores calculados <strong>de</strong> distorção harmônica total aten<strong>de</strong>m aos limites estipulados no início<br />

<strong>de</strong>sse projeto.<br />

4.3 Medição do Rendimento<br />

Para a medição das potências <strong>de</strong> entrada e saída do <strong>amplificador</strong> foram utilizados<br />

os seguintes equipamentos:<br />

Duas Fontes <strong>de</strong> Alimentação DC, <strong>um</strong>a <strong>de</strong> 12 Volts e 1 Ampere <strong>de</strong> capacida<strong>de</strong><br />

máxima e outra <strong>de</strong> 40 Volts e 3 Amperes;<br />

Osciloscópio Digital;<br />

Gerador <strong>de</strong> Funções;<br />

Analisador Universal <strong>de</strong> Potência, mo<strong>de</strong>lo PM3000A da Voltech.<br />

A fonte <strong>de</strong> 40 Volts foi ligada aos transistores <strong>de</strong> chaveamento e a fonte <strong>de</strong> 12 Volts<br />

foi ligada a todos os outros módulos do <strong>amplificador</strong>.<br />

O osciloscópio digital foi utilizado para visualizar as formas <strong>de</strong> onda das diversas<br />

tensões do sistema.<br />

O gerador <strong>de</strong> funções foi configurado para gerar <strong>um</strong> sinal senoidal <strong>de</strong> 1 kHz com<br />

0,5 Volts <strong>de</strong> pico a pico e foi ligado à entrada do <strong>amplificador</strong>.<br />

O Analisador Universal <strong>de</strong> Potência possui três canais, e cada canal possui <strong>um</strong><br />

voltímetro e <strong>um</strong> amperímetro. O voltímetro do canal 1 foi ligado em paralelo com a fonte<br />

<strong>de</strong> 40 Volts, e o amperímetro em série. O canal 2 foi configurado da mesma maneira, e<br />

ligado à carga.<br />

A bancada com todos os equipamentos po<strong>de</strong> ser vista na Figura 25.<br />

38


Figura 25 – Bancada com os equipamentos montados.<br />

Com o sistema montado e ligado, ajustou-se o ganho do <strong>amplificador</strong> até a potência<br />

máxima que a fonte é capaz <strong>de</strong> fornecer. A partir <strong>de</strong> aproximadamente 28 Watts <strong>de</strong><br />

potência entregue à carga a fonte acusou curto-circuito. Portanto, o teste para a medição <strong>de</strong><br />

rendimento foi executado com a potência máxima que a fonte foi capaz <strong>de</strong> fornecer.<br />

Foi aplicado <strong>um</strong> sinal senoidal <strong>de</strong> 1 kHz à carga e medidos os valores <strong>de</strong> tensão<br />

eficaz, corrente eficaz e potência da fonte e da carga. O wattímetro indicou os valores<br />

mostrados na Tabela 3.<br />

Tensão<br />

Eficaz (V)<br />

Corrente<br />

Eficaz (A)<br />

Potência<br />

(W)<br />

Entrada 40,43 1,65 34,40<br />

Saída 11,27 2,57 28,88<br />

Tabela 3 – Valores Indicados no Wattímetro Digital.<br />

A partir dos valores <strong>de</strong> potência obtidos temos que o rendimento do circuito <strong>de</strong><br />

chaveamento é <strong>de</strong> 84%, aproximadamente.<br />

O rendimento total ainda <strong>de</strong>ve consi<strong>de</strong>rar a energia cons<strong>um</strong>ida pelo Kit e pelo pré<strong>amplificador</strong>.<br />

A fonte <strong>de</strong> 12 Volts indicou <strong>um</strong> cons<strong>um</strong>o <strong>de</strong> corrente <strong>de</strong> 0,03 Amperes,<br />

portanto <strong>um</strong>a potência <strong>de</strong> 0,36 Watts. Logo, o rendimento apresentado pelo sistema foi <strong>de</strong><br />

83%, aproximadamente.<br />

A Figura 26 mostra que a corrente drenada da fonte não é constante, e possui o<br />

valor máximo <strong>de</strong> 3,15 Amperes. Dessa forma, o valor da corrente eficaz não correspon<strong>de</strong><br />

ao valor médio da corrente, que é utilizada para calcular a potência. Portanto, o valor da<br />

corrente eficaz não foi consi<strong>de</strong>rado.<br />

39


Figura 26 – Forma <strong>de</strong> onda da corrente fornecida pela fonte <strong>de</strong> 40 volts.<br />

A fonte acusou curto circuito para <strong>um</strong>a potência maior <strong>de</strong> saída porque a corrente<br />

ultrapassou o valor máximo que ela é capaz <strong>de</strong> fornecer, mesmo que esse intervalo <strong>de</strong><br />

tempo seja pequeno em relação ao período.<br />

O indutor apresentou <strong>um</strong> aquecimento excessivo, os MOSFETs atingiram <strong>um</strong>a<br />

temperatura <strong>um</strong> pouco maior que nos testes anteriores e o capacitor <strong>de</strong> acoplamento da<br />

carga também apresentou <strong>um</strong> leve aquecimento.<br />

Com o fim <strong>de</strong>sse testes foram obtidos todos os dados necessários para <strong>um</strong>a<br />

avaliação completa <strong>de</strong> todos os objetivos traçados para esse projeto. Além da avaliação dos<br />

resultados, também foram exploradas possíveis melhorias para o trabalho e a possibilida<strong>de</strong><br />

<strong>de</strong> seu aproveitamento para projetos futuros.<br />

40


5 CONCLUSÕES E PROJETOS FUTUROS<br />

O <strong>amplificador</strong> implementado com <strong>amplificador</strong>es operacionais e comparadores foi<br />

dimensionado para <strong>de</strong>terminados valores <strong>de</strong> freqüência do sinal PWM e do nível <strong>de</strong> tensão<br />

<strong>de</strong> entrada. Caso alg<strong>um</strong>a modificação tenha <strong>de</strong> ser feita, será necessária a modificação dos<br />

valores dos resistores e capacitores. Isso po<strong>de</strong> ser feito através da troca <strong>de</strong> componentes ou,<br />

em alguns casos mais simples, se o pré-<strong>amplificador</strong> possuir ajuste <strong>de</strong> ganho, os ajustes<br />

po<strong>de</strong>rão ser feitos no ganho do circuito.<br />

Para os usuários que venham a utilizar esse equipamento, essas modificações<br />

<strong>de</strong>verão ser feitas via assistência técnica. Normalmente, esses usuários são leigos no<br />

assunto e não possuem habilitação para modificar as configurações <strong>de</strong>sse tipo <strong>de</strong><br />

equipamento.<br />

O envelhecimento dos componentes também é <strong>um</strong> fator que compromete a<br />

qualida<strong>de</strong> do sistema. A geração do sinal PWM pelos comparadores mais <strong>de</strong>sgastados pelo<br />

tempo não apresentam o mesmo <strong>de</strong>sempenho nas transições, reduzindo o rendimento do<br />

sistema, pois o tempo das transições é <strong>um</strong> dos fatores <strong>de</strong>terminantes do rendimento.<br />

Já no <strong>amplificador</strong> implementado com <strong>um</strong> microcontrolador, gran<strong>de</strong> parte <strong>de</strong> suas<br />

configurações po<strong>de</strong>m ser ajustadas no seu programa. E para gran<strong>de</strong> parte das modificações<br />

o programa <strong>de</strong> atualização po<strong>de</strong>rá ser enviado ao usuário (pela internet ou n<strong>um</strong> CD pelo<br />

correio). Ele, então, liga o equipamento ao computador e executa o programa que altera a<br />

configuração sem a necessida<strong>de</strong> <strong>de</strong> abrir o equipamento ou <strong>de</strong> levá-lo a <strong>um</strong>a assistência<br />

técnica.<br />

Quanto ao envelhecimento, os circuitos digitais são mais resistentes por<br />

trabalharem com faixas bem <strong>de</strong>finidas e com <strong>um</strong>a margem <strong>de</strong> segurança na interpretação<br />

dos sinais. Dessa forma, <strong>um</strong> processador com alguns anos <strong>de</strong> uso ainda apresenta <strong>um</strong> bom<br />

<strong>de</strong>sempenho por estar apenas executando <strong>um</strong> programa.<br />

Um problema <strong>de</strong> envelhecimento que afeta o <strong>de</strong>sempenho do sistema com <strong>um</strong><br />

<strong>microprocessado</strong>r é a perda <strong>de</strong> linearida<strong>de</strong> do conversar A/D. O tipo <strong>de</strong> conversor que<br />

utiliza divisores resistivos como referência po<strong>de</strong> ter o valor das resistências alteradas ao<br />

longo do tempo.<br />

O fato do módulo da resposta em freqüência do filtro “LC sintonizado” apresentar<br />

variações não é aconselhável para o bom <strong>de</strong>sempenho <strong>de</strong> <strong>um</strong> filtro, pois o que se <strong>de</strong>seja é<br />

que para todas as freqüências se tenha valores <strong>de</strong> atenuação aproximadamente iguais ao<br />

longo <strong>de</strong> toda a banda passante.<br />

41


Além disso, o filtro escolhido para este projeto apresentou ganho e <strong>um</strong>a nãolinearida<strong>de</strong><br />

na região próxima à ressonância. Isso ocasionou a distorção das componentes<br />

do sinal compreendidas nessa faixa. Por isso, esta configuração não é a<strong>de</strong>quada para filtrar<br />

sinais que possuam parte <strong>de</strong> suas componentes nessa região.<br />

Uma outra configuração possível para esse filtro é o “Filtro Passa-baixas <strong>de</strong><br />

Butterworth”. Ambos os filtros possuem a mesma topologia, o diferencial está nos valores<br />

das capacitâncias e das indutâncias.<br />

As principais características <strong>de</strong>ssa outra configuração são: pequenas variações <strong>de</strong><br />

atenuação na banda passante e não apresentar ganho positivo ao longo <strong>de</strong> todo o espectro.<br />

Com base nessas características é esperado que o filtro proposto não apresente os<br />

inconvenientes do atual.<br />

É importante enfatizar que mediante as duas opções, o filtro LC sintonizado <strong>de</strong> 2ª<br />

or<strong>de</strong>m foi escolhido por apresentar valores <strong>de</strong> atenuação maiores que o <strong>de</strong> Butterworth<br />

para freqüências além da banda passante. Mas como o filtro apresentou <strong>um</strong>a forte nãolinearida<strong>de</strong><br />

na fronteira entre a banda passante e a banda <strong>de</strong> rejeição, foi necessário<br />

inutilizar esta faixa.<br />

No caso prático, como o sinal <strong>de</strong> áudio vai até 10 kHz o filtro foi dimensionado<br />

para 20 kHz. Consi<strong>de</strong>rando <strong>um</strong> filtro <strong>de</strong> Butterworth, o mesmo dimensionamento po<strong>de</strong>rá<br />

ser feito para a freqüência <strong>de</strong> 10 kHz. Portanto, esse filtro apresentará valores <strong>de</strong> atenuação<br />

ainda maiores que o LC sintonizado.<br />

A <strong>de</strong>modulação do PWM <strong>de</strong> potência é feita através do bloqueio das componentes<br />

<strong>de</strong> freqüência referente à fundamental da portadora e <strong>de</strong> suas harmônicas. E para isso foi<br />

utilizado <strong>um</strong> filtro passa-baixas <strong>de</strong> modo a permitir a passagem apenas das componentes <strong>de</strong><br />

baixa freqüência referentes ao sinal <strong>de</strong> áudio.<br />

A atenuação proporcionada pelo filtro <strong>de</strong>pen<strong>de</strong> da freqüência da portadora PWM<br />

em relação à freqüência <strong>de</strong> corte do filtro. Para que a energia da portadora dissipada na<br />

carga não comprometa o rendimento, é recomendável que a portadora seja atenuada em no<br />

mínimo 20 dB (100 vezes).<br />

De acordo com o módulo da resposta em freqüência do filtro da Figura 19, a<br />

portadora será atenuada mais que 20 dB para valores <strong>de</strong> freqüência superiores a 70 kHz.<br />

Portanto, a utilização <strong>de</strong> <strong>um</strong>a portadora PWM com freqüência abaixo <strong>de</strong> 70 kHz<br />

comprometerá o rendimento do sistema e, conseqüentemente, provocará <strong>um</strong> aquecimento<br />

maior dos componentes do filtro e do alto-falante.<br />

42


Como a portadora PWM <strong>de</strong>ve apresentar freqüências a partir <strong>de</strong> 70 kHz, só foi<br />

possível utilizar as resoluções <strong>de</strong> 8 e 9 bits para a geração do sinal PWM. Se a resolução <strong>de</strong><br />

10 bits for utilizada, a portadora terá apenas 58,6 kHz, o que não aten<strong>de</strong> às exigências.<br />

Para melhorar o <strong>de</strong>sempenho do sistema é necessário a<strong>um</strong>entar a resolução do<br />

conversor A/D e também do PWM. O conversor A/D do sistema já possui <strong>um</strong>a resolução<br />

<strong>de</strong> 10 bits, mas o sinal PWM correspon<strong>de</strong>nte não possui a freqüência necessária. É preciso<br />

a<strong>um</strong>entar a freqüência do relógio do módulo gerador do sinal PWM para que a contagem<br />

ocorra mais rapidamente.<br />

O relógio <strong>de</strong>sse módulo é <strong>de</strong>pen<strong>de</strong>nte do relógio da CPU, e ela já trabalha em sua<br />

máxima velocida<strong>de</strong>, que é <strong>de</strong> 60 MHz. Então, <strong>um</strong>a melhora no <strong>de</strong>sempenho só po<strong>de</strong>rá ser<br />

alcançada via troca <strong>de</strong> microcontrolador, ou para <strong>um</strong> mo<strong>de</strong>lo mais mo<strong>de</strong>rno do mesmo<br />

fabricante ou outros mo<strong>de</strong>los que também atendam às exigências e possuam <strong>um</strong> relógio<br />

mais rápido.<br />

Por outro lado, a CPU está ociosa mais <strong>de</strong> 90% do tempo, pois seu papel no sistema<br />

é apenas acionar o conversor A/D quando o temporizador causa <strong>um</strong>a interrupção e<br />

direcionar o resultado da conversão para o gerador <strong>de</strong> sinal PWM. Durante todo o restante<br />

do tempo a CPU não executa nenh<strong>um</strong>a tarefa.<br />

Esse tempo ocioso po<strong>de</strong> ser aproveitado para a execução <strong>de</strong> outros programas<br />

como: a filtragem digital do sinal, geração <strong>de</strong> efeitos sonoros e acionamento <strong>de</strong> displays ou<br />

medidores <strong>de</strong> sinal. Essas tarefas po<strong>de</strong>m ser agregadas ao sistema existente sem nenh<strong>um</strong><br />

acréscimo no seu custo ou perda no <strong>de</strong>sempenho.<br />

A origem do problema na comutação dos MOSFETs, que estava ocasionando <strong>um</strong><br />

curto-circuito na fonte, era inicialmente <strong>de</strong>sconhecida. Observando o funcionamento <strong>de</strong><br />

<strong>amplificador</strong> implementado analogicamente, viu-se que este não apresentou aquecimento e<br />

<strong>um</strong> tempo diferente para a comutação dos MOSFETs. Isso chamou a atenção por que<br />

ambas as diferenças notadas no seu comportamento po<strong>de</strong>m ser interpretadas como relação<br />

<strong>de</strong> causa e efeito.<br />

Primeiro foi percebido que os MOSFETs praticamente não aqueciam em condições<br />

<strong>de</strong> carga leve, e utilizando o osciloscópio mediu-se essa diferença <strong>de</strong> tempo. Daí foi<br />

possível concluir que os transistores não aqueciam por que a diferença <strong>de</strong> tempo <strong>de</strong><br />

comutação <strong>de</strong> cada transistor estava, mesmo que não intencional, sendo respeitada.<br />

O segundo teste feito com o <strong>amplificador</strong> implementado digitalmente confirmou<br />

esse raciocínio e ainda possibilitou a <strong>de</strong>terminação do melhor <strong>de</strong>ad-time para o sistema <strong>de</strong><br />

gatilhamento implementado.<br />

43


Uma outra diferença quanto ao <strong>de</strong>sempenho dos <strong>amplificador</strong>es foi a presença <strong>de</strong><br />

<strong>um</strong> ruído in<strong>de</strong>pen<strong>de</strong>nte do sinal no “digital”. Isso se <strong>de</strong>ve principalmente ao roteamento<br />

das trilhas da placa do Kit MCB2130, pois o sinal PWM aplicado à trilha da placa induziu<br />

<strong>um</strong>a corrente nos pinos próximos – funcionando como <strong>um</strong>a antena – incluindo a entrada do<br />

conversor A/D.<br />

Uma das maneiras mais simples <strong>de</strong> contornar esse problema é a elaboração <strong>de</strong> <strong>um</strong>a<br />

placa com o roteamento arranjado <strong>de</strong> tal maneira que não ocorra <strong>um</strong>a indução do sinal<br />

PWM nas outras trilhas. Por exemplo, dispondo a trilha da entrada do conversor A/D <strong>de</strong><br />

<strong>um</strong> lado da placa e a trilha do sinal PWM do outro.<br />

Nesse projeto foi utilizado <strong>um</strong> microcontrolador ARM7 para os módulos <strong>de</strong><br />

aquisição e processamento, mas ele é apenas <strong>um</strong>a das soluções possíveis.<br />

Uma alternativa para esse módulo é a utilização <strong>de</strong> <strong>um</strong> FPGA, que consiste n<strong>um</strong><br />

chip cuja arquitetura não é fixa. Ele possui <strong>um</strong>a série <strong>de</strong> blocos internos munidos <strong>de</strong> <strong>um</strong>a<br />

quantida<strong>de</strong> <strong>de</strong>terminada <strong>de</strong> portas lógicas, po<strong>de</strong>ndo ser <strong>de</strong> alguns milhares ou até mesmo<br />

<strong>de</strong> bilhões. Para programá-lo é necessário utilizar <strong>um</strong>a linguagem <strong>de</strong> <strong>de</strong>scrição <strong>de</strong> hardware<br />

(VHDL ou Verilog) para que seja gerada <strong>um</strong>a matriz <strong>de</strong> interligação <strong>de</strong>ssas portas lógicas.<br />

Esta arquitetura possui <strong>um</strong>a velocida<strong>de</strong> <strong>de</strong> processamento maior que a do ARM7,<br />

porém a elaboração da arquitetura interna do chip <strong>de</strong>manda muito mais tempo que a<br />

elaboração <strong>de</strong> <strong>um</strong> programa para <strong>um</strong> microcontrolador que já possui todas as<br />

funcionalida<strong>de</strong>s necessárias à aplicação. Por isso a adoção <strong>de</strong> <strong>um</strong> FPGA para essa<br />

aplicação implicaria n<strong>um</strong>a maior necessida<strong>de</strong> <strong>de</strong> tempo para a elaboração da arquitetura,<br />

mas apresentaria <strong>um</strong> <strong>de</strong>sempenho melhor.<br />

Outra alternativa são os Processadores Digitais <strong>de</strong> Sinais (DSP). Esses dispositivos<br />

possuem arquiteturas <strong>de</strong>dicadas à execução <strong>de</strong> operações matemáticas características dos<br />

filtros digitais, que são a soma e a multiplicação. Eles são semelhantes aos ARM7, porém<br />

apresentam <strong>um</strong>a varieda<strong>de</strong> menor <strong>de</strong> blocos funcionais como os A/Ds e PWMs. Então,<br />

essa opção acarreta n<strong>um</strong> tempo <strong>de</strong> elaboração do programa maior do que o que foi<br />

necessário à elaboração do programa do ARM7.<br />

Os kits <strong>de</strong> DSPs disponíveis no laboratório CISNE não aten<strong>de</strong>m às especificações<br />

do projeto, por possuírem conversores A/D com a taxa máxima <strong>de</strong> amostragem igual a<br />

8 kHz. Portanto, se a escolha para implementar esse módulo fosse via DSP, outros mo<strong>de</strong>los<br />

teriam <strong>de</strong> ser pesquisados e adquiridos para a execução do projeto.<br />

Os testes realizados para a medição das componentes harmônicas na carga são<br />

importantes por que é a THD que indica se o equipamento apresenta <strong>um</strong>a boa linearida<strong>de</strong><br />

44


ou não. Para esse projeto foi estipulado o teto <strong>de</strong> 1% <strong>de</strong> componentes harmônicas, e tanto a<br />

primeira THD calculada quanto a segunda estão <strong>de</strong>ntro das exigências.<br />

Portanto, po<strong>de</strong>mos dizer que o <strong>amplificador</strong> implementado apresenta a linearida<strong>de</strong><br />

esperada para esse projeto e como também aten<strong>de</strong>u às mesmas exigências aplicando-se as<br />

compensações <strong>de</strong> sensibilida<strong>de</strong> do ouvido h<strong>um</strong>ano, também po<strong>de</strong>mos dizer que a qualida<strong>de</strong><br />

<strong>de</strong> áudio do equipamento está <strong>de</strong>ntro das expectativas.<br />

Duas possibilida<strong>de</strong>s para melhorar o <strong>de</strong>sempenho do sistema são: a nova tecnologia<br />

<strong>de</strong> transistores chamada DirectFETs e o gatilhador “IRS20124S” mostrados na Figura 27.<br />

Figura 27 – À esquerda o gatilhador IRS20124S e à direita o DirectFET.<br />

A principal diferença dos DirectFETs é seu encapsulamento, semelhante a <strong>um</strong>a<br />

pastilha. Esses transistores apresentam principalmente: as indutâncias <strong>de</strong>vida a seus<br />

terminais muito menores que a dos MOSFETs, diminuindo os harmônicos no processo <strong>de</strong><br />

chaveamento; resistência <strong>de</strong> condução e carga <strong>de</strong> gatilho também menores, resultando<br />

n<strong>um</strong>a comutação mais rápida e menor aquecimento.<br />

O gatilhador IRS20124S tem as seguintes vantagens: possui <strong>um</strong> sistema interno <strong>de</strong><br />

proteção <strong>de</strong> sobrecarga e curto-circuito e também faz automaticamente a compensação do<br />

<strong>de</strong>ad-time. Essas características proporcionam <strong>um</strong>a maior robustez e <strong>um</strong> controle do<br />

gatilhamento mais eficiente ao sistema.<br />

Os <strong>amplificador</strong>es <strong>classe</strong> A, B e AB apresentam dissipadores que chagam a<br />

representar meta<strong>de</strong> do tamanho total do circuito eletrônico, e a maior parcela do custo <strong>de</strong><br />

implementação. Como o <strong>amplificador</strong> construído nesse projeto não necessitou <strong>de</strong><br />

dissipadores <strong>de</strong> calor, seu vol<strong>um</strong>e, peso e custo serão menores que os citados<br />

anteriormente.<br />

Portanto esse <strong>amplificador</strong> representa <strong>um</strong>a inovação na área <strong>de</strong> equipamentos<br />

eletrônicos <strong>de</strong> áudio. Como <strong>um</strong>a alternativa mais barata, leve e portátil.<br />

Essa configuração adotada para o <strong>amplificador</strong> possibilita, através da troca dos<br />

módulos <strong>de</strong> chaveamento e filtro (para suportarem maior corrente), a ampliação <strong>de</strong> sua<br />

45


capacida<strong>de</strong> <strong>de</strong> potência para até o máximo que os MOSFETs existentes no mercado são<br />

capazes <strong>de</strong> suportar, que atualmente é <strong>de</strong> 500 Watts.<br />

Na medição do rendimento do sistema, o fato do produto entre corrente eficaz e<br />

tensão eficaz não correspon<strong>de</strong>r à potência cons<strong>um</strong>ida pelo circuito indica que a corrente<br />

não é constante.<br />

O valor da potencia máxima fornecida pela fonte <strong>de</strong> 40 Volts foi <strong>de</strong> 34,4 Watts, e<br />

não 50 Watts, porque a fonte em alguns momentos já estava fornecendo <strong>um</strong> valor <strong>de</strong><br />

corrente pouco superior a 3 Amperes.<br />

Então, para tornar possível a utilização do <strong>amplificador</strong> com a potência <strong>de</strong> saída<br />

igual a 50 Watts, é necessária a utilização <strong>de</strong> <strong>um</strong>a fonte com a capacida<strong>de</strong> <strong>de</strong> fornecimento<br />

<strong>de</strong> corrente a partir <strong>de</strong> 5 Amperes, ou a adoção <strong>de</strong> <strong>um</strong> filtro para suavizar a corrente<br />

drenada da fonte, <strong>de</strong> maneira a torná-la o mais constante possível.<br />

Além disso, o indutor e o capacitor <strong>de</strong> acoplamento do filtro apresentaram <strong>um</strong><br />

aquecimento maior que o esperado. Caso o sistema venha a funcionar fornecendo 50 watts<br />

à carga esses componentes <strong>de</strong>vem ser trocados.<br />

O indutor po<strong>de</strong> ser trocado por <strong>um</strong> torói<strong>de</strong> feito <strong>de</strong> ferrite. Para diminuir sua<br />

resistência po<strong>de</strong>m ser utilizados fios trançados, que diminuem o efeito pelicular da corrente<br />

alternada, com a secção transversal total compatível com a corrente que o elemento irá<br />

conduzir, e o núcleo <strong>de</strong> ferrite proporciona o mesmo valor <strong>de</strong> indutância com <strong>um</strong> menor<br />

número <strong>de</strong> voltas.<br />

Para o capacitor <strong>de</strong> acoplamento a solução mais interessante é a colocação <strong>de</strong> mais<br />

dois capacitores com os mesmo parâmetros em paralelo. Isso a<strong>um</strong>entará a capacitância<br />

equivalente, tornando o módulo da resposta em freqüência do filtro mais linear para baixas<br />

freqüências, e diminuirá a resistência equivalente que ele representa ao circuito,<br />

diminuindo seu aquecimento.<br />

A troca do capacitor por <strong>um</strong> <strong>de</strong> maior valor não é interessante porque a resistência<br />

do capacitor eletrolítico a<strong>um</strong>enta com o a<strong>um</strong>ento da capacitância e isso resultará n<strong>um</strong><br />

aquecimento ainda maior.<br />

46


6 REFERÊNCIAS BIBLIOGRÁFICAS<br />

[1] INTERNATIONAL RECTIFIER. Class D Tutorial. Class D Audio Amplifier<br />

Design [on line]. Disponível: www.irf.com [capturado em 29 nov. 2006].<br />

[2] PY4ZBZ. Quantização e Codificação [on line]. Disponível:<br />

http://paginas.terra.com.br/lazer/py4zbz/teoria/quantiz.htm [capturado em 29 nov.<br />

2006].<br />

[3] INTERNATIONAL RECTIFIER. Application Note 978: HV Floating MOS-Gate<br />

Driver ICs [on line]. Disponível: www.irf.com [capturado em 29 nov. 2006].<br />

[4] INTERNATIONAL RECTIFIER. Application Note 1070: Class D Amplifier<br />

Performance Relationship to MOSFET Parameters [on line]. Disponível:<br />

www.irf.com [capturado em 29 nov. 2006].<br />

[5] INTERNATIONAL RECTIFIER. Application Note 1071: Class D Audio<br />

Amplifier Basics [on line]. Disponível: www.irf.com [capturado em 29 nov. 2006].<br />

[6] ATTACK AUDIO SYSTEM. Artigos: O Ouvido H<strong>um</strong>ano [on line]. Disponível:<br />

www.attack.com.br [capturado em 3 <strong>de</strong>z. 2006].<br />

[7] ATTACK AUDIO SYSTEM. Artigos: Definição <strong>de</strong> Termos Técnicos [on line].<br />

Disponível: www.attack.com.br [capturado em 3 <strong>de</strong>z. 2006].<br />

[8] SEDRA, A<strong>de</strong>l S. e SMITH, K. C. Microeletronics Circuits. Oxford University<br />

Press, 5ª edição, novembro <strong>de</strong> 2003<br />

[9] INTERNATIONAL RECTIFIER. Datasheet: IRLZ34N [on line]. Disponível:<br />

www.irf.com [capturado em 11 <strong>de</strong>z. 2006].<br />

[10] INTERNATIONAL RECTIFIER. Datasheet: IR2011 [on line]. Disponível:<br />

www.irf.com [capturado em 11 <strong>de</strong>z. 2006].<br />

47


GLOSSÁRIO<br />

ARM: Advanced RISC Machine.<br />

BJT: Bipolar Junction Transistor.<br />

DSP: Digital Signal Processor.<br />

FPGA: Field Programmable Gate Array.<br />

JTAG: Joint Test Action Group.<br />

LED: Light Emitter Dio<strong>de</strong>.<br />

MOSFET: Metal-Oxi<strong>de</strong> Semiconductor Field-Effect Transistor.<br />

PWM: Pulse Width Modulation.<br />

RISC: Reduced Instruction Set Computer.<br />

THD: Total Harmonic Distortion.<br />

VHDL: Hardware Description Language.<br />

Verilog: Hardware Description Language.<br />

48


APÊNDICE A – Microcontrolador ARM7 LPC2138<br />

O projeto base dos microcontroladores padrão ARM7 foi elaborado pela empresa<br />

ARM. Essa empresa é responsável apenas pelo projeto da arquitetura interna do<br />

microcontrolador. Ela então ven<strong>de</strong> esses projetos para outras empresas.<br />

Essas então projetam o encapsulamento com base nessa arquitetura e encomendam<br />

sua fabricação n<strong>um</strong>a fábrica <strong>de</strong> semicondutores.<br />

Figura 28 – Arquitetura do LPC2138.<br />

49


A arquitetura do LPC2138 é RISC <strong>de</strong> 32 bits, apresenta capacida<strong>de</strong> <strong>de</strong> executar até<br />

60 milhões <strong>de</strong> Instruções por Segundo, 512 kB <strong>de</strong> Memória FLASH, 32 kB <strong>de</strong> Memória<br />

SRAM, Controlador <strong>de</strong> Interrupção Vetorizado, Memória Linear, interface <strong>de</strong> teste e<br />

<strong>de</strong>puração, programação via interface JTAG ou Serial e diversos periféricos.<br />

Os periféricos são: Controlador <strong>de</strong> Interrupção Externa; Dois Temporizadores; Dois<br />

Conversores Analógico-Digital <strong>de</strong> Oito Canais; Conversor Digital-Analógico; Controlador<br />

com 48 pinos <strong>de</strong> entrada/saída; Seis Geradores <strong>de</strong> PWM; Interfaces <strong>de</strong> Comunicação: Duas<br />

I 2 C, SPI, SSP e Duas UART; Relógio <strong>de</strong> Tempo Real; Temporizador Watchdog e<br />

Controlador do Sistema.<br />

O Temporizador também engloba as funções <strong>de</strong> <strong>um</strong> contador. Ele po<strong>de</strong> funcionar<br />

contando os pulsos do relógio ou eventos externos e apresenta oito pinos <strong>de</strong> Match e oito<br />

<strong>de</strong> capture. Os pinos <strong>de</strong> Match 0 a 7 indicam que a contagem igualou o valor <strong>de</strong> referência<br />

correspon<strong>de</strong>nte e os pinos <strong>de</strong> Capture po<strong>de</strong>m ser configurados para incrementar ou zerar o<br />

contador. Os pinos <strong>de</strong> Capture po<strong>de</strong>m ser configurados para gerarem <strong>um</strong> evento quando<br />

ocorre <strong>um</strong>a borda <strong>de</strong> subida, <strong>um</strong>a borda <strong>de</strong> <strong>de</strong>scida ou ambas.<br />

Memória linear significa que todos os registradores e periféricos po<strong>de</strong>m ser<br />

acessados sem a necessida<strong>de</strong> <strong>de</strong> trocas entre bancos <strong>de</strong> memória, toda ela funciona como<br />

<strong>um</strong> único banco.<br />

Sua memória flash po<strong>de</strong> ser programada em baixa tensão, ou seja, nas condições <strong>de</strong><br />

funcionamento normal. O chip possui as opções <strong>de</strong> programação via porta serial, bastando<br />

apenas fazer as adaptações <strong>de</strong> níveis <strong>de</strong> tensão ou via padrão JTAG cujo adaptador<br />

comunica-se com a porta paralela ou com a USB.<br />

A programação do microcontrolador ainda necessita <strong>de</strong> duas ferramentas: <strong>um</strong>a para<br />

escrever o código e outra para gerenciar a transferência dos dados via <strong>um</strong>a porta <strong>de</strong><br />

comunicação do PC. Essas ferramentas <strong>de</strong> <strong>de</strong>senvolvimento fazem parte do Kit da Keil que<br />

são: o “µVision” que permite a edição <strong>de</strong> texto para a escrita do código em C e compilação<br />

para a linguagem do ARM7, e o “Flash Utility” para iniciar o processo <strong>de</strong> programação e<br />

gerenciar a transferência dos dados.<br />

50


APÊNDICE B – MOSFET IRLZ34N<br />

Esse mo<strong>de</strong>lo <strong>de</strong> transistor <strong>de</strong> efeito <strong>de</strong> campo possui <strong>um</strong>a construção do tipo<br />

HEXFET <strong>de</strong> Potência. Seu símbolo e encapsulamento são mostrados na Figura 29.<br />

Figura 29 – Símbolo e encapsulamento do MOSFET IRLS34N.<br />

A condução <strong>de</strong> corrente <strong>de</strong>ve ocorrer sempre do Dreno (terminal D da Figura 29)<br />

para a Fonte (terminal S da Figura 29) e sua resistência <strong>de</strong> condução varia <strong>de</strong> acordo com a<br />

tensão aplicada ao Gatilho (terminal G da Figura 29) em relação a Fonte.<br />

Ele apresenta as seguintes características:<br />

• Tensão <strong>de</strong> ruptura <strong>de</strong> 55 volts;<br />

• Capacida<strong>de</strong> <strong>de</strong> condução contínua <strong>de</strong> corrente <strong>de</strong> 30 amperes;<br />

• Capacida<strong>de</strong> <strong>de</strong> condução <strong>de</strong> corrente <strong>de</strong> pico <strong>de</strong> 110 amperes;<br />

• Resistência mínima <strong>de</strong> condução <strong>de</strong> 0,035 ohms;<br />

• Temperatura máxima <strong>de</strong> funcionamento <strong>de</strong> 175ºC;<br />

• Carga total do Gatilho <strong>de</strong> 25 nanocoulomb;<br />

• Capacida<strong>de</strong> máxima <strong>de</strong> dissipação <strong>de</strong> calor <strong>de</strong> 68 watts.<br />

Ele está situado na categoria dos transistores <strong>de</strong> chaveamento rápido (Fast<br />

Switching) por apresentar <strong>um</strong> dos menores valores <strong>de</strong> carga total do Gatilho. Ele também é<br />

caracterizado como transistor <strong>de</strong> potência pela boa capacida<strong>de</strong> <strong>de</strong> dissipação <strong>de</strong> calor,<br />

baixa resistência <strong>de</strong> condução plena e alta temperatura <strong>de</strong> operação.<br />

Além disso, o MOSFET possui <strong>um</strong> diodo em paralelo, com tensão ruptura <strong>de</strong> 55<br />

volts, para prevenir possíveis danos ao componente por condução reversa, ou seja, da<br />

Fonte para o Dreno.<br />

51


APÊNDICE C – Gatilhador <strong>de</strong> MOSFETs IR2011<br />

O gatilhador <strong>de</strong> MOSFETs é <strong>um</strong> circuito conversor <strong>de</strong> sinais digitais em estados<br />

dos transistores. Dessa forma, sempre que o circuito recebe <strong>um</strong> sinal com nível lógico zero<br />

implica que o transistor <strong>de</strong>ve estar em corte e sempre que recebe nível lógico <strong>um</strong> implica<br />

no transistor em condução plena.<br />

A transição entre os estados é feita via pulsos <strong>de</strong> corrente <strong>de</strong> carga e <strong>de</strong>scarga <strong>de</strong><br />

gatilho. Para o estado <strong>de</strong> condução plena é necessário carregar o gatilho e para o estado <strong>de</strong><br />

corte é necessário <strong>de</strong>scarregá-lo.<br />

O esquemático do circuito do gatilhador é mostrado na Figura 30.<br />

Figura 30 – Esquemático do circuito do gatilhador IR2011.<br />

Suas principais características são: o <strong>de</strong>slocador <strong>de</strong> nível para acionar o transistor<br />

ligado à fonte <strong>de</strong> tensão e os transistores <strong>de</strong> saída responsáveis pelo fornecimento da<br />

corrente <strong>de</strong> carga dos gatilhos.<br />

As características <strong>de</strong> entrada e saída do circuito são:<br />

• Entradas <strong>de</strong> sinal compatíveis com sinais digitais <strong>de</strong> 5 e 3,3 volts;<br />

• Tempos <strong>de</strong> atraso iguais para ambos os canais;<br />

• Tensão máxima <strong>de</strong> chaveamento <strong>de</strong> 200 volts;<br />

• Corrente <strong>de</strong> carga e <strong>de</strong>scarga dos gatilhos <strong>de</strong> 1 ampere;<br />

O circuito também apresenta proteção contra baixa tensão só acionando os<br />

transistores quando a tensão atingir o mínimo necessário para comutá-los para o estado <strong>de</strong><br />

condução plena. Caso o transistor não seja carregado com a tensão mínima, ele aquecerá<br />

excessivamente, po<strong>de</strong>ndo inclusive queimar.<br />

52


APÊNDICE D – Código Fonte do LPC2138<br />

#inclu<strong>de</strong> // LPC21XX Peripheral Registers<br />

#<strong>de</strong>fine AD0CR<br />

#<strong>de</strong>fine AD0DR<br />

#<strong>de</strong>fine AD1CR<br />

#<strong>de</strong>fine AD1DR<br />

#<strong>de</strong>fine ADGSR<br />

(*((volatile unsigned long *) 0xE0034000))<br />

(*((volatile unsigned long *) 0xE0034004))<br />

(*((volatile unsigned long *) 0xE0060000))<br />

(*((volatile unsigned long *) 0xE0060004))<br />

(*((volatile unsigned long *) 0xE0034008))<br />

unsigned long valor,aux = 1,LEDS;<br />

int k = 5;<br />

void AD1_DONE (void) __irq;<br />

void TIMER0 (void) __irq;<br />

void main (void) {<br />

//LEDs<br />

IODIR1 = 0x00FF0000; // P1.16..23 <strong>de</strong>fined as Outputs (LEDs)<br />

//PWM<br />

PINSEL0 = 0x00028000; // P0.8 => PWM4 e P0.7 => PWM2<br />

IODIR0 = 0x00000180; // P0.8(PWM4) e P0.7(PWM2) habilitados como saida<br />

PWMMCR = 0x00000002; // reset PWMTC (time counter) quando igual a PWMMR0<br />

PWMPCR = 0x00001410; // PWM2 single-edge e PWM4 double-edge and enabled<br />

PWMMR0 = 256; // PWM2 0 => 1<br />

PWMMR4 = 256 - k; // PWM4 1 => 0 com a compensação do tempo <strong>de</strong> corte do<br />

MOSFET<br />

PWMMR2 = 128 - k; // PWM2 1 => 0<br />

PWMMR3 = 128; // PWM4 0 => 1<br />

PWMTCR = 9; // Habilita PWM e Counters<br />

//AD<br />

PINSEL1 = 0x00001000; // P0.22 => AD1.7<br />

AD1CR = 0x00200E80; // AD1.7 will convert 10 bits and divi<strong>de</strong>d by 15<br />

//timer0<br />

T0TCR = 2; //reseta o contador<br />

T0MCR = 0x00000003; //MR0 reseta TC e gera interrupcao<br />

T0MR0 = 1250; //divisão do clock para gerar 48000 Hz<br />

T0TCR = 1; //enable TimerCounter<br />

//VIC<br />

VICVectAddr0 = (unsigned)TIMER0; //seta o en<strong>de</strong>reco da TIMER0<br />

VICVectCntl0 = 0x00000024; //IRQslot e interrupt n<strong>um</strong>ber (4)<br />

VICVectAddr1 = (unsigned)AD1_DONE; //seta o en<strong>de</strong>reco da AD1_DONE<br />

VICVectCntl1 = 0x00000035; //IRQslot e interrupt n<strong>um</strong>ber (18)<br />

VICIntEnable = 0x00200010; //enable interrupt AD1_DONE e TIMER0<br />

while (1);<br />

} //end main<br />

void TIMER0 (void) __irq {<br />

T0IR = 1; // clear interrupt register<br />

53


VICVectAddr = 0x00000000; // habilita nova interrupcao<br />

AD1CR |= 0x01000000; // start conversion<br />

} // end TIMER0<br />

void AD1_DONE (void) __irq {<br />

VICVectAddr = 0x00000000; // habilita nova interrupcao<br />

// Aquisicao do valor da conversao AD<br />

valor = (AD1DR & 0x0000FF00) >> 8; // AD 8 bits<br />

if (valor > 253) valor = 253; // limita o PWM máximo em 99%<br />

if (valor < 2) valor = 2; // limita o PWM minimo em 1%<br />

// Atualizacao dos valores do PWM<br />

PWMMR3 = valor;<br />

PWMMR2 = valor - k; // com <strong>de</strong>ad-time<br />

PWMLER = 12; //Habilita latch do PWMMR2 e 3<br />

// Acen<strong>de</strong> VU <strong>de</strong> LED com Trigger<br />

valor = valor >> 5; // 3 bits<br />

LEDS = 0x0001FFFF 48000) aux = 1;<br />

if (aux > 2400) LEDS &= 0x00FE0000; // Trigger (apaga primeiro LED)<br />

else LEDS &= 0x00FF0000;<br />

IOPIN1 = LEDS;<br />

} // end AD1_DONE<br />

54

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!