10.04.2013 Views

The Red Brick Wall of Traditional Semiconductor Electronics The ...

The Red Brick Wall of Traditional Semiconductor Electronics The ...

The Red Brick Wall of Traditional Semiconductor Electronics The ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>The</strong> <strong>Red</strong> <strong>Brick</strong> <strong>Wall</strong> <strong>of</strong> <strong>Traditional</strong><br />

<strong>Semiconductor</strong> <strong>Electronics</strong><br />

H. Jörg Osten<br />

Institute for <strong>Semiconductor</strong> Devices<br />

and Electronic Materials<br />

University <strong>of</strong> Hannover


150 Years <strong>of</strong> <strong>Electronics</strong><br />

Edison Effect<br />

Lilienfeld<br />

Patents<br />

Diode &Triode<br />

Vacuum Tubes<br />

Point Contact<br />

& Junction Transistors<br />

I.C. MOS Silicon Gate<br />

Scaling, Scaling<br />

<strong>Traditional</strong> Equivalent<br />

’70 ’80 ’90 ’00 ’10 ’20 ’30 ’40 ’50 ’60 ’70 ’80 ’90 ’00 ’10 ’20<br />

19th Century 20th Century 21st Century


“I think there is a world market for<br />

maybe five computers”<br />

Thomas Watson Senior,<br />

Chairman <strong>of</strong> IBM, 1943


<strong>The</strong> Birth <strong>of</strong> Microelectronics<br />

23.12. 1947:<br />

<strong>The</strong> first Ge point contact device<br />

showed a current gain <strong>of</strong> 18<br />

(Bell Laboratories)<br />

Current Transfer + Resistor = Transistor


Silicon Wafer Today


<strong>The</strong> Intel Family<br />

10 M<br />

1M<br />

A new processor every 2-3 years !<br />

Transistors<br />

100K<br />

10K<br />

4004<br />

1975 1980 1985 1990 1995 2000<br />

Pentium III<br />

8080<br />

8086<br />

80286<br />

80486<br />

80386<br />

Pentium<br />

Pentium II


<strong>Electronics</strong>, Vol. 38, Nr. 8, April 19, 1965


Moore’s “Law”<br />

<strong>The</strong> number <strong>of</strong> transistors per chip<br />

doubles every 18 months


CPU MHz Trend<br />

MHz<br />

1000<br />

100<br />

10<br />

8080<br />

8086<br />

286<br />

Pentium(R)Pro Processor<br />

Pentium(R) Processor<br />

386TM<br />

486TM<br />

1<br />

1970 1980 1990 2000 2010<br />

YEAR<br />

1.25x per year


DRAM Cost Per Function<br />

100000<br />

Price per bit (Millicents)<br />

10000<br />

1000<br />

100<br />

10<br />

1<br />

0,1<br />

'65 '70 '75 '80 '85 '90 '95 2000


<strong>Semiconductor</strong> End Markets 2003<br />

12%<br />

12%<br />

11%<br />

10% 8%<br />

30%<br />

17%<br />

PC<br />

other Computer<br />

Cell phones<br />

other Commun.<br />

Industrial/Military<br />

Automotive<br />

Consumer<br />

Source: SIA 2003


What is the „ „Best „Best“ est“ “ Technology<br />

Technology?<br />

echnology?<br />

Simplicity<br />

Simplicity<br />

Reliability<br />

Reliability<br />

Needed Needed tools tools<br />

&<br />

investments<br />

Production cost<br />

Production cost<br />

Integration Integration in in<br />

existing existing processes processes<br />

CMOS<br />

III/V III/V-Devices<br />

Devices<br />

Si Si-Bipolar<br />

Bipolar<br />

Si Si-BiCMOS<br />

BiCMOS<br />

SiGe SiGe-Bipolar<br />

Bipolar<br />

SiGe SiGe-BiCMOS<br />

BiCMOS<br />

......... .........<br />

Needed Needed device device<br />

parameter parameter<br />

Power consumption<br />

Power consumption<br />

Maturity<br />

Maturity<br />

Environmental<br />

issues issues


What is the „ „Best“<br />

est“ Technology?<br />

echnology?<br />

<strong>The</strong> “best technology“ depends on<br />

desired application<br />

As cheap as possible<br />

As established as possible<br />

Performance only sufficiently high


Major Technologies 2003<br />

Global market: $154.9 B<br />

Billion $<br />

13.3<br />

8.3<br />

25.8<br />

25.8<br />

10.3<br />

6.2<br />

34.3<br />

15.7<br />

9.7<br />

5.5<br />

Products<br />

Discrete<br />

Optoelectronics<br />

Analog<br />

MOS µ-processors<br />

MOS µ-controllers<br />

MOS DSP<br />

MOS Logic<br />

MOS DRAM<br />

Flash EEPROMS<br />

others<br />

4%<br />

6.6%<br />

17%<br />

more than 90 % is based on Si<br />

more than 80 % is integrated<br />

17%<br />

22%<br />

10%<br />

5.4% 8.6%<br />

6.3%<br />

3.6%<br />

Source: SIA 2003


It is revolutionary time ...<br />

Processor: 30% more components per year,<br />

doubling in speed every 1.5 years<br />

Memory: 60% more capacity every year<br />

Harddisk: 60% more capacity every year<br />

Cost per function: 25% lower every year


Moore‘s Law Law:<br />

: New Structures<br />

1960‘s: Bipolar, Mesa, Metal gate<br />

1970‘s: MOS, Poly-gate, LOCOS isolation<br />

1980‘s: CMOS, W plugs, salicide process<br />

1990‘s: STI isolation, BiCMOS, CMP,<br />

multilevel metal, SiGe bipolar<br />

2000‘s: Hetero MOS (strained silicon), …


Moore‘s Law Law:<br />

: New Materials<br />

1960‘s: Si, Al, SiO 2<br />

1970‘s: Poly-Si, PSG, Al-Si, Si 3 N 4<br />

1980‘s: BPSG, WSi 2 , Polyimide, SOG, TiN, TiN<br />

1990‘s: Al-Cu, W, MoSi 2 , SiOF, Cu, SiGe,<br />

TiSi 2 , CoSi 2 , Low-K ILD<br />

2000‘s: High-K dielectrics, …?


CMOS Technology Breakthrough Takes Time…<br />

Tool or Technology<br />

Silicon epitaxy<br />

APCVD silicon nitride<br />

Ion implantation<br />

TiW metallization<br />

Charge-coupled devices (CCD)<br />

Reactive ion etch (RIE)<br />

Poly-Si emitter<br />

Refractory gate<br />

SIMOX (SOI via implantation)<br />

Trench capacitors<br />

Silicide (self-aligned)<br />

Lightly-doped drain (LDD)<br />

developed<br />

1960<br />

1965<br />

1969<br />

1970<br />

1970<br />

1975<br />

1976<br />

1976<br />

1978<br />

1979<br />

1978<br />

1980<br />

In production<br />

1964<br />

1968<br />

1973<br />

1976<br />

1981<br />

1980<br />

1984<br />

1983<br />

1989<br />

1986<br />

1985<br />

1986<br />

∆<br />

4<br />

4<br />

4<br />

6<br />

11<br />

5<br />

8<br />

7<br />

11<br />

7<br />

7<br />

6


Moore’s Law today today:<br />

: New Capabilities<br />

Resist, optics, mask (157nm, EUV, …)<br />

Chemical-mechanical polishing<br />

Deposition <strong>of</strong> high-K dielectrics<br />

Low-K dielectrics (organics) integration<br />

Thinner conformal barrier metals<br />

Higher thermal conductivity materials<br />

Atomic layer conformal deposition


Example: Hetero Heterojunction<br />

junction Bipolar<br />

ipolar Transistor (HBT)<br />

Poly SiGe:C/Si<br />

STI<br />

W<br />

SiGe:C<br />

W<br />

Emitter<br />

window<br />

Active region<br />

As doped<br />

emitter<br />

XTEM image <strong>of</strong> a SiGe:C HBTs<br />

Thin, heteroepitaxial<br />

base layer<br />

IHP 2001


HBT: Bor Boron<br />

on Outdiffusion – the Key Problem<br />

n-emitter (Si) p-base (SiGe) n-collector (Si)<br />

Boron doping


HBT: Bor Boron<br />

on Outdiffusion – the Key Problem<br />

n-emitter (Si) p-base (SiGe) n-collector (Si)<br />

Boron doping


Boron Outdiffusion: <strong>The</strong> Problem<br />

Conduction band for heavily p-doped Si and SiGe (schematically)<br />

npn-Si<br />

npn-Si/SiGe/Si<br />

Parasitic barriers


Material Solution:<br />

Suppression <strong>of</strong> Boron Outdiffusion by Carbon<br />

SiGe<br />

B concentration<br />

BORON<br />

Annealing<br />

Depth (nm) Depth (nm)<br />

SIMS investigations on real devices<br />

SiGe:C<br />

B concentration


SUMMARY: Dopant Diffusion in Si SiGe SiGe:C Ge:C :C<br />

Model <strong>of</strong> C outdiffusion provides quantitative<br />

description<br />

– Coupled diffusion <strong>of</strong> C and Si point defects<br />

Suppressed B diffusion due to C incorporation<br />

– Interstitial undersaturation due to C outdiffusion<br />

– diffusivity reduction <strong>of</strong> ~20 for < 10 20 cm -3 C<br />

C suppresses also transient enhanced diffusion (TED)<br />

during damage annealing<br />

Boron and phosphorous diffusion is suppressed by C<br />

– Interstitial-mediated dopant diffusion<br />

Antimony and arsenic diffusion is enhanced by C<br />

– Vacancy-mediated dopant diffusion


SiGe:C Heterojunction Bipolar Transistor<br />

f T or f max (GHz)<br />

75<br />

70<br />

65<br />

60<br />

55<br />

50<br />

45<br />

40<br />

35<br />

30<br />

- First realization with MBE 1997 -<br />

10 -3<br />

f max<br />

V CE = 2 V<br />

Emitter Area 0.5 x 5 µm²<br />

R SBi = 2.3 kΩ<br />

f T<br />

Collector Current (A)<br />

10 -2<br />

Ring Oscillator Delay<br />

CML-type, FI/FO = 1<br />

25 HBTs, 0.9 x1.3 µm²<br />

td ± σ =<br />

(12.9 ± 0.2) ps<br />

best value: 12.6 ps<br />

Osten et al. IEDM 97<br />

Meanwhile both frequencies are above 200 GHz<br />

Si-based analog circuits for mobile communication


Modular Integration: BiCMOS ffor<br />

for or Everybody<br />

“bipolar step<br />

proce ss step<br />

“corrected”<br />

CMOS<br />

CMOS<br />

classical<br />

BiCMOS<br />

bipolar<br />

modul<br />

modular<br />

odular<br />

BiCMOS


Modular Integration <strong>of</strong> SiGe:C HBTs in into<br />

to CMOS<br />

CMOS<br />

digital<br />

+<br />

HBT<br />

=<br />

BiCMOS<br />

• IHP module can be integrated into nearly any CMOS process<br />

• No changes in CMOS flow (modular integration)<br />

• CMOS libraries can be re-used<br />

• Adds only 4 additional masks to the process<br />

• Requires only epi-SiGe:C CVD as a new step


Prognosis ….<br />

“<strong>The</strong>re is no reason anyone<br />

would want a computer in<br />

their home”<br />

Ken Olsen<br />

President, Chairman and<br />

founder <strong>of</strong> Digital, 1977


<strong>The</strong> Coming Years<br />

Consult the<br />

oracle<br />

ITRS Roadmap


<strong>The</strong> ITRS Consortium<br />

onsortium<br />

TWG Members by Regions TWG Members by Affiliations<br />

Japan<br />

222<br />

26%<br />

19%<br />

Taiwan<br />

161<br />

Korea<br />

64<br />

8%<br />

USA<br />

324<br />

39%<br />

8%<br />

Europe<br />

68<br />

Source: 2001 ITRS - Exec. Summary<br />

Research Inst. /<br />

Consortia / Other<br />

University 1% 10<br />

193 23%<br />

22%<br />

Equipment /<br />

Materials<br />

Suppliers 185<br />

Chip Makers<br />

445<br />

54%


<strong>The</strong> Plan for Globalization - ITRS Working Groups<br />

International<br />

Technology<br />

Working Groups<br />

(ITWG)<br />

Design<br />

Test<br />

Front End Processes<br />

Interconnect<br />

Lithography<br />

Process Integration<br />

Assembly & Packaging<br />

Factory Integration<br />

International Crosscut Technology<br />

Working Group (ICCT WG)<br />

Environment<br />

Safety &<br />

Health<br />

Metrology Defect<br />

<strong>Red</strong>uction<br />

Modeling &<br />

Simulation<br />

http://public.itrs.net


<strong>The</strong> latest ITRS Roadmap ( (selected selected example example)<br />

Year <strong>of</strong> Production:<br />

DRAM Half-Pitch [nm]:<br />

Overlay Accuracy [nm]:<br />

MPU Gate Length [nm]:<br />

CD Control [nm]:<br />

T OX (equivalent) [nm]:<br />

Junction Depth [nm]:<br />

Metal Cladding [nm]:<br />

Inter-Metal Dielectric K:<br />

2001<br />

130<br />

46<br />

90<br />

8<br />

1.3-1.6<br />

48-95<br />

16<br />

3.0-3.6<br />

2003<br />

100<br />

35<br />

65<br />

5.5<br />

1.1-1.8<br />

33-66<br />

12<br />

3.0-3.6<br />

2005<br />

80<br />

28<br />

45<br />

3.9<br />

0.8-1.3<br />

24-47<br />

9<br />

2.6-3.1<br />

2007<br />

65<br />

23<br />

35<br />

3.1<br />

0.6-1.1<br />

18-37<br />

7<br />

2.3-2.7<br />

2010<br />

45<br />

18<br />

25<br />

2.2<br />

0.5-0.8<br />

13-26<br />

5<br />

2.1<br />

2016<br />

22<br />

9<br />

13<br />

1.1<br />

0.4-0.5<br />

7-13<br />

Solution known R&D needed Solution unknown<br />

2.5<br />

1.8


<strong>The</strong> <strong>Red</strong> <strong>Brick</strong> <strong>Wall</strong> <strong>of</strong> Microelectronics<br />

Year <strong>of</strong> Production:<br />

DRAM Half-Pitch [nm]:<br />

Overlay Accuracy [nm]:<br />

MPU Gate Length [nm]:<br />

CD Control [nm]:<br />

T OX (equivalent) [nm]:<br />

Junction Depth [nm]:<br />

Metal Cladding [nm]:<br />

Inter-Metal Dielectric K:<br />

2001<br />

130<br />

46<br />

90<br />

8<br />

1.3-1.6<br />

48-95<br />

16<br />

3.0-3.6<br />

2003<br />

100<br />

35<br />

65<br />

5.5<br />

1.1-1.8<br />

33-66<br />

12<br />

3.0-3.6<br />

2005<br />

2.6-3.1<br />

2007<br />

0.6-1.1<br />

18-37<br />

2.3-2.7<br />

Solution known R&D needed Solution unknown<br />

80<br />

28<br />

45<br />

3.9<br />

0.8-1.3<br />

24-47<br />

9<br />

65<br />

23<br />

35<br />

3.1<br />

7<br />

2010<br />

45<br />

18<br />

25<br />

2.2<br />

0.5-0.8<br />

13-26<br />

5<br />

2.1<br />

2016<br />

22<br />

9<br />

13<br />

1.1<br />

0.4-0.5<br />

7-13<br />

2.5<br />

1.8


Ultrathin Gate oxide oxide: : 30 nm Transistor<br />

30 nm FET (Intel)


Need for Alternative Gate Dielectrics<br />

Production<br />

year<br />

Technology<br />

Node<br />

(nm)<br />

Gate dielectric<br />

(SiO2 equivalent)<br />

thickness<br />

(Å)<br />

Gate bias, Vdd<br />

(V)<br />

Leakage current<br />

for SiO2 @ Vdd<br />

(for max. EOT)<br />

(A/cm²)<br />

2001 130 13 - 16 1.1 > 0.1<br />

2002 115 12 - 16 1.0 > 0.1<br />

2003 100 11 - 16 1.0 > 0.1<br />

2004 90 9 - 14 1.0 > 1<br />

2005 80 8 - 13 0.9 > 2<br />

2006 70 7 - 12 0.9 > 5<br />

2007 65 6 -11 0.7 > 10<br />

2010 45 5 - 8 0.6 > 100<br />

2013 32 4 - 6 0.5<br />

2016 22 4 - 5 0.4


Alternatives<br />

Needed: thicker gate dielectric<br />

BUT: <strong>The</strong> capacity cannot be changed!<br />

C ~ K A / t<br />

Solution: Materials with higher dielectric constant (High-K)<br />

For a given capacitance, the possible layer thickne ss scale s with K<br />

Capacitance scales linearly with thickness<br />

Equivalent Oxide Thickne ss: EOT = t * 3.9/K


“High “High-K”<br />

K” Diele Dielectri Dielectrics: trics cs: Requirements<br />

Dielectric constant between 15 and 40<br />

Chemical Stability against silicon<br />

– No tendency for silicide formation<br />

– No tendency for silicon o xide interfacial layer formation<br />

Compatibility with CMOS Process<br />

– Includes thermal stability<br />

– Selective etching<br />

Important parameter:<br />

– Interface trap density (D it ) impacts carrier mobility<br />

– Sufficiently high K and suitable band <strong>of</strong>fsets to Si impacts<br />

leakage current (for FET and memory)<br />

Epitaxia l?


Search for Suitable Binary Oxides<br />

H not solid at 1000K He<br />

Li Be radioactive B C N O F Ne<br />

Na Mg Al Si P S Cl Ar<br />

K Ca Sc Ti V Cr Mn Fe Co Ni Cu Zn Ga Ge As Se Br Kr<br />

Rb Sr Y Zr Nb Mo Tc Ru Rh Pd Ag Cd In Sn Sb Te I Xe<br />

Cs Ba * Lu Hf Ta W Re Os Ir Pt Au Hg Tl Pb Bi Po At Rn<br />

Fr Ra ** Lr Rf Db Sg Bh Hs Mt<br />

* Lanthanoids La Ce Pr Nd Pm Sm Eu Gd Tb Dy Ho Er Tm Yb<br />

** Actinoids Ac Th Pa U Np Pu Am Cm Bk Cf Es Fm Md No


Search for Suitable Binary Oxides<br />

Si + MOx M+SiO2 ; MSiz + SiO2 ; M + MSixOy<br />

H not solid at 1000K He<br />

Li Be radioactive B C N O F Ne<br />

Na Mg Also not suitable Al Si P S Cl Ar<br />

K Ca Sc Ti V Cr Mn Fe Co Ni Cu Zn Ga Ge As Se Br Kr<br />

Rb Sr Y Zr Nb Mo Tc Ru Rh Pd Ag Cd In Sn Sb Te I Xe<br />

Cs Ba * Lu Hf Ta W Re Os Ir Pt Au Hg Tl Pb Bi Po At Rn<br />

Fr Ra ** Lr Rf Db Sg Bh Hs Mt<br />

* Lanthanoids La Ce Pr Nd Pm Sm Eu Gd Tb Dy Ho Er Tm Yb<br />

** Actinoids Ac Th Pa U Np Pu Am Cm Bk Cf Es Fm Md No


Praseodym<br />

Praseodymium<br />

ium Oxid Oxide: xide: : Leakage Current<br />

J g (A/cm -2 )<br />

10 2<br />

10 0<br />

10 -2<br />

10 -4<br />

10 -6<br />

10 -8<br />

10 -10<br />

10 -12<br />

16 Au/Pr 2O 3/n-Si capacitors<br />

1.9 x 10 -3 cm², EOT = 1.4 nm.<br />

-2 0 2 4 6 8<br />

V g (V)<br />

Leakage current density for different<br />

dielectrics with EOT = 1.4 nm.<br />

Material Jg (A/cm ²)<br />

@ Vg = 1V<br />

Pr2O3<br />

HfO2<br />

ZrO2<br />

SiO2<br />

7*10 -9<br />

1*10 -4<br />

5*10 -4<br />

~ 1<br />

SiO2 (3 nm ) ~ 10 -4<br />

Osten et al. IEDM 2000<br />

Lowest reported values for leakage current


Pr 2O 2O3 Growth 3 Growth on Si(111)<br />

5 nm<br />

epi Si<br />

h-Pr 2 O 3<br />

(111) Si<br />

X-HREM <strong>of</strong> a 6 nm<br />

thick, hexagonal Pr 2 O 3<br />

film grown epitaxially<br />

on a Si(111) substrate.<br />

Si overgrowth leads to the formation <strong>of</strong> epitaxial,<br />

(111) oriented silicon.<br />

Novel tunneling devices?


Epita Epitaxial<br />

xial Growth <strong>of</strong> Heterolayers


Pseudomorphic Growth (Strained Layer)<br />

compressive<br />

strained SiGe<br />

(pseudomorphic)<br />

Si substrate


Relaxed Layer ( (Misfit Misfit Dislocations)<br />

relaxed SiGe<br />

mf dislocation<br />

Si substrate


Virtual Substrate (Strained Strained Si Layer Layer)<br />

tensile<br />

strained Si<br />

Relaxed<br />

SiGe<br />

Si Substrate


Band Gap for Strained SiGe and silicon<br />

Band Gap (eV)<br />

at 90 K<br />

1.2<br />

1.1<br />

1.0<br />

0.9<br />

0.8<br />

Strained SiGe<br />

on Si<br />

Relaxed SiGe<br />

Strained Si<br />

on relaxed SiGe<br />

0.7<br />

0.0 0.2 0.4 0.6 0.8 1.0<br />

Ge Concentration<br />

Strain reduces the band gap


SiGe Valley Splitting: Conduction Band<br />

Strained SiGe on Si<br />

[001]<br />

[100]<br />

[010]<br />

[001]<br />

Unstrained Si<br />

6-fold<br />

degenerated<br />

[010]<br />

[100]<br />

Strained Si on<br />

relaxed SiGe<br />

[001]<br />

Intervalley scattering can be reduced<br />

Increase in carrier mobility<br />

[010]<br />

[100]


Strain and Carrier Mobility<br />

Normalized Mobility<br />

2,5<br />

2,0<br />

1,5<br />

NMOS<br />

PMOS<br />

1,0<br />

0 10 20 30 40<br />

Ge Concentration (%)<br />

<strong>of</strong> the Substrate<br />

Strained Si on relaxed SiGe


State State-<strong>of</strong> State-<strong>of</strong>-the-Art<br />

<strong>of</strong>-the the-Art Art<br />

CMOS with<br />

• 50 nm Gate length<br />

• Strained Silicon<br />

• 1.2 nm SiO 2<br />

• Nickel silicide<br />

1.2 nm<br />

1.2nm<br />

SiO 2<br />

silicide<br />

Strained Si<br />

INTEL: IEDM Dez. 2002


Scaling will go on


Moore’s “ “Law “Law” Law”<br />

<strong>The</strong> number <strong>of</strong> transistors per chip<br />

doubles every 18 months<br />

Execution to Moore’s Law is<br />

becoming more material dependent<br />

Further scaling will reach<br />

physical limits


Material Complexity is Compounding<br />

Number <strong>of</strong> New Fab Materials<br />

Compared to the 0.35 micron Baseline<br />

50<br />

45<br />

40<br />

35<br />

30<br />

25<br />

20<br />

15<br />

10<br />

5<br />

0<br />

0.25 micron<br />

0.18 micron<br />

0.13 micron<br />

0.13 micron<br />

(300 mm)<br />

Revolutionary:<br />

Characterized by or<br />

resulting in radical change.<br />

Evolutionary:<br />

A gradual process in which<br />

something changes into a<br />

different and usually more<br />

complex or better form.<br />

Source: INTEL


Evolutionary Changes<br />

CMP: Composite abrasive slurries<br />

SILICON: Double-Sided Polished<br />

PHOTORESIST: 193 nm<br />

DIELECTRICS: Fluorine- & Carbon-Doped SiO 2<br />

METALS<br />

– Ta Barrier<br />

– Salicides<br />

– Target Assembly


Revolutionary Changes<br />

LOW K DIELECTRICS<br />

– Spin-on polymers<br />

– Porous materials<br />

HIGH K GATE DIELECTRICS<br />

– Metal ox ides<br />

– Mixed silicates<br />

METALS<br />

– Dual Damascene Copper<br />

– Advanced Bump Metallurgy instead <strong>of</strong> bonding<br />

– Atomic Layer Deposition


Revolutionary Changes<br />

CHEMICAL-MECHANICAL POLISHING (CMP)<br />

– Fixed & bonded abrasive pads<br />

– Abrasive-free slurries<br />

SILICON<br />

– Isotopically-enriched Si (28)<br />

– Si on Insulator (FD or PD-SOI)<br />

PHOTORESIST<br />

– 157 nm<br />

– EUV<br />

CARRIER: FOUP


Power Density Continues to Get Worse<br />

Watt/cm 2<br />

1000<br />

100<br />

10<br />

1<br />

1985<br />

P446<br />

P648<br />

1990<br />

P650<br />

P852<br />

1995<br />

P854<br />

P856<br />

2000<br />

P858<br />

P860<br />

2005<br />

P1262<br />

P1264<br />

2010


Future Drivers<br />

Logic process technologies are driven by<br />

3 key technology areas:<br />

Transistor performance<br />

– Gate oxide quality, channel mobility, …<br />

– Leakage currents<br />

Patterning / etching <strong>of</strong> nanometer lines<br />

Low RC interconnects


Lithography: Yesterday, Today, and Tomorrow


Bridging the SubWavelength Gap


CPU Multi Multi-layer layer Metal Increase Trend<br />

# <strong>of</strong> Metal Layers<br />

8<br />

7<br />

6<br />

5<br />

4<br />

3<br />

2<br />

1<br />

0<br />

1980-2µ<br />

1984-1.5µ<br />

1987-1.0µ<br />

1990-0.8µ<br />

1993-0.6µ<br />

1995-0.35µ<br />

1997-0.25µ<br />

1999-0.18µ<br />

2001-0.13µ<br />

2003-0.09µ


0.5µm<br />

P-WELL P-W WELL ELL<br />

N-WEL WEL L<br />

P+ Substrate<br />

Epi<br />

P-WELL P-W WELL ELL<br />

N-WEL WEL L<br />

Epi


RC Delay<br />

Assumption<br />

Assumption: : two parallel<br />

interconnects will be<br />

treated as a capacitor<br />

C = LW K ox ε 0 /t ox<br />

R = ρ met L/W t met<br />

t met<br />

RC ~ ρ met K ox L²/t ox t met<br />

Only a problem for long interconnects<br />

interconnects!<br />

t ox


RC Delay<br />

Strategies<br />

Strategies:<br />

RC ~ ρ met K ox L²/t ox t met<br />

- lowest possible resistivity<br />

- thick interlayer dielectric<br />

- thick metal layer<br />

Limited by global design issues<br />

Interlayer Interlayer with low K ox<br />

(low low-K K dielectrics<br />

dielectrics, , e.a. polymers<br />

polymers)


Groups <strong>of</strong> Low Low-K Materials<br />

<strong>Red</strong>ucing dielectric constant k<br />

Decreasing polarity<br />

1<br />

Decreasing density<br />

Groups <strong>of</strong> materials Bulk dielectric constant Deposition<br />

SiO 2 3.9 - 4.3 K CVD<br />

Fluorinated oxide1 3.4 - 3.9 low-K CVD<br />

Organosilicate glass1,2 2.5 - 3.0 low-K CVD<br />

Organic polymer 1 2.5 - 3.0 low-K CVD/SOD<br />

Porous organic polymer 1,2 2.0 - 2.5 ultra low-K SOD<br />

Porous organic polymer 1,2 1.5 - 1.9 extreme low-K SOD<br />

2


Calculated Delay vs. Technology Generation<br />

Delay (ps)<br />

45<br />

40<br />

35<br />

30<br />

25<br />

20<br />

15<br />

10<br />

5<br />

0<br />

Gate Delay<br />

Cu + low-K<br />

Al + SiO 2<br />

Total (Cu + low-K)<br />

Total (Al + SiO )<br />

2 600 500 400 300 200 100<br />

Pitch Size (nm)<br />

Al: 3.0 µΩcm<br />

Cu: 1.7 µΩcm<br />

SiO2: κ = 3.9<br />

Low K: κ = 2.0<br />

Al & Cu: 0.8 µm thick<br />

50 µm long<br />

Interconnect optimized circuit design will be needed


Cost <strong>of</strong> a Chip Factory<br />

“Second Moore’s Law”<br />

Fab cost doubles every 3 years


Worldwide <strong>Semiconductor</strong> Sales<br />

1975 1975-2005<br />

2005<br />

$Billions<br />

250<br />

200<br />

150<br />

100<br />

50<br />

0<br />

1975<br />

1977<br />

1979<br />

1981<br />

1983<br />

1985<br />

1987<br />

1989<br />

1991<br />

1993<br />

1995<br />

1997<br />

1999<br />

2001<br />

2003*<br />

2005*<br />

Source: SIA Fall 2002 Forecast<br />

* Forecast


$35<br />

$30<br />

$25<br />

$20<br />

$15<br />

$10<br />

$5<br />

$0<br />

Intel<br />

Toshiba<br />

NEC<br />

Samsung<br />

TI<br />

Top 22 Chip Manufacturers 2000<br />

STMicro<br />

Final 2000 numbers<br />

from Dataquest*<br />

Motorola<br />

Hitachi<br />

Infineon<br />

Micron<br />

Hyundai<br />

Philips<br />

Mitsubishi<br />

Fujitsu<br />

TSMC*<br />

Lucent<br />

AMD<br />

IBM<br />

'98 ($B)<br />

'99 ($B)<br />

'00 ($B)<br />

Source: Dataquest, *TSMC and UMC annual reports — the latter don't sell chips into the market<br />

Matsushita<br />

Sony<br />

Sharp<br />

UMC*


<strong>The</strong> Need for Globalization<br />

manufacturer<br />

manufacturer<br />

90’s 21 st Century<br />

Technology<br />

Economics<br />

Global<br />

<strong>Semiconductor</strong><br />

Industry


Mega Business Trends<br />

Cost <strong>of</strong> Fab, instead <strong>of</strong> technology<br />

breakthrough, impacts global industry food<br />

chain<br />

– size matter; CPU, DRAM alliance<br />

– Foundry fab (TSMC and others)<br />

Migration to Developing Country will<br />

accelerate<br />

– Foundry to Asia (Taiwan, China…)<br />

– Fabless design to Taiwan, Israel, Russia, India…


Asia Pacific Leads<br />

$Billions<br />

90<br />

80<br />

70<br />

60<br />

50<br />

40<br />

30<br />

20<br />

10<br />

0<br />

Regional <strong>Semiconductor</strong> Market<br />

2001 2002* 2003* 2004* 2005*<br />

Americas Europe Japan Asia Pacific<br />

Source: SIA Fall 2002 Forecast<br />

*2002 Grow th Rates


Growth <strong>of</strong> the Internet<br />

Mill.<br />

10000<br />

1000<br />

100<br />

World population<br />

TV & Telephone<br />

PC<br />

“Internetter Internetter”<br />

10<br />

‘95 ‘96 ‘97 ‘98 ‘99 ‘00 ‘01 ‘02 ‘03 ‘04


Wireless Internet<br />

Wireless (<strong>of</strong> course!)<br />

– But much more than wireless access to the net<br />

Personal<br />

– Accessed by personalized, handheld terminals<br />

– “Wearable computers”<br />

Location aware<br />

– Information & services you need where you happen to be<br />

Context aware<br />

– You need a ticket at the train station<br />

Transaction based


Web Tablet


Nanoelectronics


Other New Concepts (here: Memories)


Visions<br />

Integration <strong>of</strong> Opto and Microelectronics on one Chip


New Device Concepts<br />

Gate Oxide<br />

Body<br />

Drain<br />

Silicon Film<br />

Buried Oxide<br />

Substrate<br />

Poly Gate<br />

Source<br />

Body<br />

BOX<br />

Source Drain<br />

Source<br />

Gate<br />

Drain<br />

Si fin - Body!


V S<br />

Beyond the classical FET<br />

1) MOSFET<br />

V S<br />

V G<br />

2) SBFET<br />

V G<br />

V D<br />

V D<br />

3) CNTFET<br />

4) Molecular transistors?<br />

V G<br />

V S<br />

V D


Scaling will reach physical limits….<br />

10 nm MOSFET Molecule<br />

or<br />

?


Carbon Nanotubes


Summary<br />

Innovations on all levels are needed for future progress<br />

– Materials (high-K, low-K, new metals, SOI,…)<br />

– Device concepts (3D integration, …)<br />

– IC architectures (interconnect optimized design, …)<br />

<strong>The</strong> „classica l“ microelectronic (CMOS, …) is getting<br />

closer to its limits<br />

– Material limits<br />

– Technical limits<br />

– Economical limits (too expensive)<br />

Nanoelectronics<br />

– Devices with small number <strong>of</strong> electrons<br />

– Molecular approaches<br />

– Fabrication by self organization<br />

– Various quantum effects (spintronic, quantum computing)

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!