01.07.2013 Views

MicroBlaze Processor Reference Guide (UG081) - Xilinx

MicroBlaze Processor Reference Guide (UG081) - Xilinx

MicroBlaze Processor Reference Guide (UG081) - Xilinx

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Chapter 2: <strong>MicroBlaze</strong> Architecture<br />

Table 2-1: Configurable Feature Overview by <strong>MicroBlaze</strong> Version<br />

Feature<br />

AXI4 (M_AXI_DC) protocol for D-<br />

Cache<br />

<strong>MicroBlaze</strong> Versions<br />

v7.00 v7.10 v7.20 v7.30 v8.00 v8.10 v8.20<br />

- - - - option option option<br />

AXI4 (M_AXI_IC) protocol for I-Cache - - - - option option option<br />

AXI4 protocol for stream accesses - - - - option option option<br />

Fault tolerant features - - - - option option option<br />

Tool selectable endianness - - - - option option option<br />

Force distributed RAM for cache tags - - - - option option option<br />

Configurable cache data widths - - - - option option option<br />

Count Leading Zeros instruction - - - - - option option<br />

Memory Barrier instruction - - - - - Yes Yes<br />

Stack overflow and underflow detection - - - - - option option<br />

Allow stream instructions in user mode - - - - - option option<br />

Lockstep support option<br />

Configurable use of FPGA primitives option<br />

1. Used in Virtex ® -4 and subsequent families, for saving MUL18 and DSP48 primitives.<br />

12 www.xilinx.com <strong>MicroBlaze</strong> <strong>Processor</strong> <strong>Reference</strong> <strong>Guide</strong><br />

<strong>UG081</strong> (v13.2)

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!