05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

XST <strong>User</strong> <strong>Guide</strong><br />

No 4-to-1 MUX .........................................................................2-81<br />

VHDL Code .........................................................................2-82<br />

Verilog Code .......................................................................2-82<br />

Decoders .......................................................................................2-83<br />

Log File ....................................................................................2-83<br />

Related Constraints .................................................................2-83<br />

VHDL (One-Hot) ......................................................................2-83<br />

Verilog (One-Hot) .....................................................................2-84<br />

VHDL (One-Cold) .....................................................................2-85<br />

Verilog (One-Cold) ...................................................................2-86<br />

VHDL .......................................................................................2-87<br />

Verilog ......................................................................................2-88<br />

VHDL .......................................................................................2-89<br />

Verilog ......................................................................................2-90<br />

Priority Encoders ...........................................................................2-91<br />

Log File ....................................................................................2-91<br />

3-Bit 1-of-9 Priority Encoder .....................................................2-91<br />

Related Constraint ...................................................................2-91<br />

VHDL .......................................................................................2-92<br />

Verilog ......................................................................................2-93<br />

Logical Shifters ..............................................................................2-93<br />

Log File ....................................................................................2-94<br />

Related Constraints .................................................................2-94<br />

Example 1 ................................................................................2-95<br />

VHDL ..................................................................................2-95<br />

Verilog .................................................................................2-96<br />

Example 2 ................................................................................2-96<br />

VHDL ..................................................................................2-97<br />

Verilog .................................................................................2-97<br />

Example 3 ................................................................................2-98<br />

VHDL ..................................................................................2-98<br />

Verilog .................................................................................2-99<br />

Arithmetic Operations ....................................................................2-99<br />

Adders, Subtractors, Adders/Subtractors ................................2-100<br />

Log File ...............................................................................2-100<br />

Unsigned 8-bit Adder ..........................................................2-101<br />

Unsigned 8-bit Adder with Carry In .....................................2-102<br />

Unsigned 8-bit Adder with Carry Out ..................................2-103<br />

Unsigned 8-bit Adder with Carry In and Carry Out .............2-105<br />

Simple Signed 8-bit Adder ..................................................2-107<br />

Unsigned 8-bit Subtractor ...................................................2-108<br />

Unsigned 8-bit Adder/Subtractor ........................................2-109<br />

Comparators (=, /=,=) ..............................................2-111<br />

Log File ...............................................................................2-111<br />

Unsigned 8-bit Greater or Equal Comparator .....................2-111<br />

Multipliers .................................................................................2-112<br />

Large Multipliers Using Block Multipliers ............................2-112<br />

Registered Multiplier ...........................................................2-113<br />

Log File ...............................................................................2-114<br />

Unsigned 8x4-bit Multiplier .................................................2-114<br />

xii <strong>Xilinx</strong> Development System

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!