05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Simple Signed 8-bit Adder<br />

HDL Coding Techniques<br />

The following table shows pin descriptions for a simple signed 8-bit<br />

adder.<br />

IO pins Description<br />

A[7:0], B[7:0] Add Operands<br />

SUM[7:0] Add Result<br />

VHDL<br />

Following is the VHDL code for a simple signed 8-bit adder.<br />

library ieee;<br />

use ieee.std_logic_1164.all;<br />

use ieee.std_logic_signed.all;<br />

entity adder is<br />

port(A,B : in std_logic_vector(7 downto 0);<br />

SUM : out std_logic_vector(7 downto 0));<br />

end adder;<br />

architecture archi of adder is<br />

begin<br />

SUM

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!