15.07.2013 Views

EECE 353: Digital Systems Design Lecture 10: Datapath ... - Courses

EECE 353: Digital Systems Design Lecture 10: Datapath ... - Courses

EECE 353: Digital Systems Design Lecture 10: Datapath ... - Courses

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Summary of this very long lecture<br />

We saw a lot of examples of datapath and control circuits<br />

Do you need to regurgitate all the details<br />

of any of these examples on a test?<br />

No, but you might be asked to design a<br />

simple system that contains both a datapath<br />

and controller. But, if you understand these<br />

examples, you’ll be in a good position to do<br />

the design, and more importantly,<br />

in the real world once you graduate<br />

(or go on co-op).<br />

<strong>Lecture</strong> <strong>10</strong>, Page 55

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!