12.07.2015 Views

VGA Signal Generation with the XS Board - Xess

VGA Signal Generation with the XS Board - Xess

VGA Signal Generation with the XS Board - Xess

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;entity vgacore isport(reset: in std_logic; -- resetclock: in std_logic; -- <strong>VGA</strong> dot clockhsyncb: buffer std_logic; -- horizontal (line) syncvsyncb: out std_logic; -- vertical (frame) syncrgb: out std_logic_vector(5 downto 0); -- red,green,blue colorsaddr: out std_logic_vector(14 downto 0); -- address to video RAMdata: in std_logic_vector(7 downto 0); -- data from video RAMcsb: out std_logic; -- video RAM chip enableoeb: out std_logic; -- video RAM output enable);end vgacore;web: out std_logic-- video RAM write enablearchitecture vgacore_arch of vgacore issignal hcnt: std_logic_vector(8 downto 0); -- horizontal pixel countersignal vcnt: std_logic_vector(9 downto 0); -- vertical line countersignal pixrg: std_logic_vector(7 downto 0); -- byte register for 4 pixelssignal blank: std_logic;-- video blanking signalsignal pblank: std_logic;-- pipelined video blanking signalbeginA: process(clock,reset)begin-- reset asynchronously clears pixel counterif reset='1' <strong>the</strong>nhcnt

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!