12.07.2015 Views

VGA Signal Generation with the XS Board - Xess

VGA Signal Generation with the XS Board - Xess

VGA Signal Generation with the XS Board - Xess

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

end if;end process;C: process(clock,reset)begin-- reset asynchronously sets horizontal sync to inactiveif reset='1' <strong>the</strong>nhsyncb =490 and vcnt

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!