13.01.2013 Views

3C-SiC growth on Si substrates via CVD: An introduction - Mansic

3C-SiC growth on Si substrates via CVD: An introduction - Mansic

3C-SiC growth on Si substrates via CVD: An introduction - Mansic

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> <str<strong>on</strong>g>growth</str<strong>on</strong>g> <strong>on</strong> <strong>Si</strong> <strong>substrates</strong> <strong>via</strong> <strong>CVD</strong>:<br />

<strong>An</strong> introducti<strong>on</strong><br />

Abstract<br />

Written by Jessica Eid<br />

LMGP/INPG, France, jessica.eid@inpg.fr<br />

and Irina Georgiana Galben<br />

LMGP/INPG, France, irina.galben@inpg.fr<br />

based <strong>on</strong> the lecture of Prof. Stephen Saddow<br />

University of South Florida, USA<br />

In this work, <str<strong>on</strong>g>growth</str<strong>on</strong>g> and characterizati<strong>on</strong> of <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> thin films have been studied.<br />

Chemical vapor depositi<strong>on</strong> (<strong>CVD</strong>) of <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> thin films <strong>on</strong> silic<strong>on</strong> <strong>substrates</strong> using a<br />

horiz<strong>on</strong>tal hot-wall <strong>CVD</strong> reactor has been described. A <str<strong>on</strong>g>growth</str<strong>on</strong>g> rate of 30 µm/h<br />

was achieved at 1380°C. A comparis<strong>on</strong> in the quality of crystals grown by Low<br />

Pressure (LP) and Atmospheric Pressure (AP) <strong>CVD</strong> was made. The results suggest<br />

that the LP<strong>CVD</strong> process leads to thinner films with a smoother surface and better<br />

crystal quality.<br />

1. Introducti<strong>on</strong><br />

<strong>Si</strong>lic<strong>on</strong> carbide (<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g>) possesses electrical and mechanical properties that make it a<br />

very promising semic<strong>on</strong>ductor superior to silic<strong>on</strong> for high envir<strong>on</strong>ments and high<br />

power applicati<strong>on</strong>s. It is well known that there are a large number of polytypes<br />

for the <str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> crystal. The most comm<strong>on</strong> are hexag<strong>on</strong>al (2H, 4H and 6H-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g>),<br />

rhombohedral (15R and 21R-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g>) and cubic (<str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g>).<br />

The <strong>on</strong>ly pure cubic polytype, <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g>, has many advantages for MOS device<br />

applicati<strong>on</strong>s over the other polytypes due to the smaller band gap. In additi<strong>on</strong>,<br />

the electr<strong>on</strong> Hall mobility is isotropic and higher compared with these of 4H and<br />

6H polytypes [1]. Most important is that this polytype can be grown <strong>on</strong> silic<strong>on</strong><br />

<strong>substrates</strong> hence there is significant interest for low-cost, large-size <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g><br />

wafers for microelectr<strong>on</strong>ic applicati<strong>on</strong>s.<br />

<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> <str<strong>on</strong>g>growth</str<strong>on</strong>g> from a stoichiometric melt is not feasible due to thermodynamic<br />

reas<strong>on</strong>s because it sublimes at T > 2830°C, therefore vapor phase epitaxy is<br />

needed for film <str<strong>on</strong>g>growth</str<strong>on</strong>g>. The chemical vapor depositi<strong>on</strong> (<strong>CVD</strong>) method is the<br />

preferred technique to grow <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> films <strong>on</strong> <strong>Si</strong> <strong>substrates</strong>. However, due to the<br />

significant difference of the thermal expansi<strong>on</strong> coefficients and the 20% misfit<br />

between <strong>Si</strong> and <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> the defect density in these films is high. Progress has<br />

been achieved recently in defect reducti<strong>on</strong> at the interface by studying the<br />

temperature of the <str<strong>on</strong>g>growth</str<strong>on</strong>g> process, the <strong>Si</strong> substrate orientati<strong>on</strong>, etc.<br />

In this paper, the basics of the <strong>CVD</strong> process are given followed by a brief<br />

summary of important achievements in <strong>CVD</strong> <str<strong>on</strong>g>growth</str<strong>on</strong>g> of cubic silic<strong>on</strong> carbide. The<br />

films quality (structural and electr<strong>on</strong>ic defects) was observed mainly by X-Ray<br />

diffracti<strong>on</strong> (XRD), transmissi<strong>on</strong> electr<strong>on</strong> microscopy (TEM) and low temperature<br />

photoluminescence (LTPL). One of the key <str<strong>on</strong>g>growth</str<strong>on</strong>g> experimental parameters<br />

(pressure) is investigated to grow <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> <strong>on</strong> (100) <strong>Si</strong> <strong>substrates</strong>. Finally some<br />

applicati<strong>on</strong>s using these <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> films are dem<strong>on</strong>strated.<br />

Physics of Advanced Materials Winter School 2008 1


2. <strong>CVD</strong> <str<strong>on</strong>g>growth</str<strong>on</strong>g> basics<br />

<strong>CVD</strong> is a materials synthesis process in which <strong>on</strong>e or more volatile precursors<br />

react in the vapor phase near or <strong>on</strong> heated substrate to form a solid thin film. The<br />

<strong>CVD</strong> technology combines several scientific and engineering disciplines including<br />

thermodynamics, kinetics, fluid dynamics, plasma physics (for plasma enhanced<br />

<strong>CVD</strong>) and of course the chemistry. Several steps that must happen in every <strong>CVD</strong><br />

process can be listed as follows (Figure 1): reactant gases are transported with<br />

carrier gas to the reactor, reactant species diffuse through the boundary layer<br />

above the <str<strong>on</strong>g>growth</str<strong>on</strong>g> surface, the species migrate to the reacti<strong>on</strong> site <strong>on</strong> the surface<br />

<strong>via</strong> surface mobility, reacti<strong>on</strong> (adsorpti<strong>on</strong> or chemisorpti<strong>on</strong>) takes place <strong>on</strong> the<br />

surface, gaseous byproducts are transported away from the surface, and finally<br />

diffused away through the boundary layer.<br />

Figure 1. Schematic illustrating the fundamental steps in chemical vapor depositi<strong>on</strong><br />

Depending <strong>on</strong> the type of source supplying the required activati<strong>on</strong> energy, there<br />

are various <strong>CVD</strong> processes: hot and cold wall <strong>CVD</strong> and plasma enhanced <strong>CVD</strong>,<br />

etc. Pressure dependent <strong>CVD</strong> are normally of two types: atmospheric pressure<br />

<strong>CVD</strong> (AP<strong>CVD</strong>) and low pressure <strong>CVD</strong> (L<strong>CVD</strong>).<br />

The <strong>CVD</strong> process is a <strong>via</strong>ble single crystal producti<strong>on</strong> method with satisfactory<br />

doping behavior. As discussed in the secti<strong>on</strong> dealing with the fundamentals of<br />

<strong>CVD</strong>, the precursor gases are transported using a carrier gas, usually hydrogen,<br />

into a reactor where a substrate is heated to specific temperature where chemical<br />

reacti<strong>on</strong>s occur <strong>on</strong> the surface of the substrate. This produces a solid deposit <strong>on</strong><br />

the substrate, and volatile byproducts to be pumped away from the system.<br />

Through chemical vapor depositi<strong>on</strong> thin films of <str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> can be grown homoepitaxially<br />

(<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> <strong>on</strong> the same polytype substrate) and heteroepitaxially (<strong>on</strong> different<br />

<strong>substrates</strong> e.g., silic<strong>on</strong>.<br />

The advantages of using silic<strong>on</strong> as a substrate in heteroepitaxy of <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> are the<br />

large size, high quality, low price, and good polytype c<strong>on</strong>trol. The equipment used<br />

in <str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> heteroepitaxy is the same as for homoepitaxy. Thin films of <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> <strong>on</strong> 6H-<br />

<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> <str<strong>on</strong>g>growth</str<strong>on</strong>g> at temperatures of 1450 °C with depositi<strong>on</strong> rates of 4 µm.h -1 and<br />

thicknesses up to 12 µm were reported by Powell et al. [2]. Compared with <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<br />

<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> depositi<strong>on</strong> <strong>on</strong> <strong>Si</strong>, these samples exhibited fewer interface defects. The initial<br />

experiments with depositi<strong>on</strong> of <str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> <strong>on</strong> <strong>Si</strong> [3,4] illustrated that the difference in<br />

both the lattice c<strong>on</strong>stant (almost 20%) and the thermal expansi<strong>on</strong> coefficient<br />

Physics of Advanced Materials Winter School 2008 2


(almost 8%) between <str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> and <strong>Si</strong> produced a high c<strong>on</strong>centrati<strong>on</strong> of defects at the<br />

<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g>-<strong>Si</strong> interface and, c<strong>on</strong>sequently, this process of crystal <str<strong>on</strong>g>growth</str<strong>on</strong>g> turned out to be<br />

problematic. Nishino et al. [5] solved this problem by growing films with the so<br />

called "Buffer layer" technique where the film is deposited at atmospheric<br />

pressure with specific gases (<strong>Si</strong>H4/C3H8/H2) in a quartz reactor with a graphite<br />

susceptor, and an inductive heating system.<br />

The producti<strong>on</strong> of epitaxial films with high quality depends <strong>on</strong> a number of<br />

factors. In particular, the substrate orientati<strong>on</strong> has a large influence <strong>on</strong> the<br />

epitaxy process [6] and it has been shown that for the (100) and (111)<br />

orientati<strong>on</strong>s the best results are achieved. On the other hand, <strong>Si</strong> (111) <strong>substrates</strong><br />

frequently show cracks in the film during the cooling process because higher<br />

mechanical stresses result for this substrate orientati<strong>on</strong> compared to (100)<br />

<strong>substrates</strong>. Exhaustive studies show that the depositi<strong>on</strong> rate is c<strong>on</strong>nected with<br />

the quality of the film, i.e. by using lower depositi<strong>on</strong> rates, the quality is<br />

improved. In additi<strong>on</strong>, when separate precursors are used for <strong>Si</strong> and C, the<br />

proporti<strong>on</strong> of <strong>Si</strong> and C (i.e., the <strong>Si</strong>/C ratio) in the gaseous phase changes the film<br />

properties. Other factors such as depositi<strong>on</strong> pressure, temperature, carb<strong>on</strong>izati<strong>on</strong><br />

temperature, carb<strong>on</strong>izati<strong>on</strong> durati<strong>on</strong> and gaseous purity influence the <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> film<br />

quality [7]. The Buffer-Layer-Process at temperatures higher than 1300°C leads<br />

to the formati<strong>on</strong> of several film crystal defects. In order to reduce the defects<br />

density at the interface between the <strong>Si</strong> substrate and the <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> film, Nagasawa<br />

et al. [8] have developed a <strong>CVD</strong> process using a cold-wall low pressure <strong>CVD</strong><br />

reactor at 1350°C. The film was deposited <strong>on</strong> an undulant <strong>Si</strong> substrate.<br />

One of the experimental parameters which affect the process is the pressure. The<br />

pressure changes the gas velocity and, therefore, the thickness of the boundary<br />

layer (BL). When a low pressure is used, the boundary layer is thin and the<br />

reactant diffusi<strong>on</strong> is faster. While a high pressure results in a thick boundary layer<br />

and increased residence time as the Figure 2 shows.<br />

3. Experimental<br />

Figure 2. Schematic illustrating the AP and LP <strong>CVD</strong><br />

The <strong>CVD</strong> system c<strong>on</strong>sists of a horiz<strong>on</strong>tal hot wall reacti<strong>on</strong> chamber. The precursor<br />

gases silane (<strong>Si</strong>H4) and propane (C3H8) as <strong>Si</strong> and C sources respectively and<br />

hydrogen as carrier gas had been used in this work. The carb<strong>on</strong>izati<strong>on</strong> process<br />

was carried out at specific temperature reached in less than 2 minutes. For<br />

carb<strong>on</strong>izati<strong>on</strong> a mixture of hydrogen and propane gases is introduced <strong>on</strong> the<br />

heated substrate (T substrate = 1175°C). After the carb<strong>on</strong>izati<strong>on</strong> step, the<br />

substrate was heated to the required <str<strong>on</strong>g>growth</str<strong>on</strong>g> temperature (1385°C) while a<br />

mixture of silane, propane and hydrogen was introduced into the reactor. After<br />

completi<strong>on</strong> of the <str<strong>on</strong>g>growth</str<strong>on</strong>g> process, the system was cooled down from <str<strong>on</strong>g>growth</str<strong>on</strong>g><br />

temperature to room temperature in about 30 minutes. The complete <strong>CVD</strong> <str<strong>on</strong>g>growth</str<strong>on</strong>g><br />

process used is dem<strong>on</strong>strated in Figure 3.<br />

Physics of Advanced Materials Winter School 2008 3


Figure 3. Time vs. temperature graph of <strong>CVD</strong> process<br />

4. Results and Characterizati<strong>on</strong>s<br />

4.1. <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> <strong>on</strong> <strong>Si</strong> hetero-defects<br />

The defects formati<strong>on</strong> in the <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> layers grown by <strong>CVD</strong> <strong>on</strong> silic<strong>on</strong> is due to the<br />

large lattice mismatch and the difference in thermal expansi<strong>on</strong> coefficient<br />

between <strong>Si</strong> and <str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g>. The Figure 4 illustrates the affect of the lattice mismatch at<br />

the interface between the <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> layers and the <strong>Si</strong> <strong>substrates</strong>.<br />

Figure 4. Illustrati<strong>on</strong> of the affect of lattice mismatch in heteroepitaxy. The – symbol<br />

denotes the locati<strong>on</strong> of a missing row of atoms which is known as a line defect.<br />

Note the stretched and compressed covalent b<strong>on</strong>ds at the interface resulting<br />

from the lattice mismatch between the two crystals.<br />

The most comm<strong>on</strong> defects in the <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> layers are stacking faults (SFs),<br />

microtwins (MTs) and anti-phase boundaries (APBs).<br />

4.2. X-ray diffracti<strong>on</strong> (XRD)<br />

4.2.1. Powder diffracti<strong>on</strong><br />

The crystalline quality of the grown layers is analyzed by x-rays diffracti<strong>on</strong> in<br />

Bragg-Brentano mode with Cu-Kα m<strong>on</strong>ochromatic source. In the Figure 5, XRD<br />

spectra of <str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> layers grown <strong>on</strong> <strong>Si</strong> (001) <strong>substrates</strong> with different <str<strong>on</strong>g>growth</str<strong>on</strong>g> rates of<br />

Physics of Advanced Materials Winter School 2008 4


(a) 18 µm/h and (b) 30 µm/h are shown. For both samples, the peak at 33° is<br />

the substrate <strong>Si</strong> (200) peak. Al<strong>on</strong>g with the substrate peaks a pr<strong>on</strong>ounced peak<br />

at 41.3° attributed to the diffracti<strong>on</strong> due to <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> (200) planes was observed.<br />

For both samples, the peak at about 36° attributed to the <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> (111) plane did<br />

not appeared. From the spectra it could be c<strong>on</strong>cluded that the layers were grown<br />

epitaxially with preferred orientati<strong>on</strong> and highly aligned with that of the<br />

<strong>substrates</strong>.<br />

4.2.2. Rocking curve<br />

Figure 5. XRD spectra for <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> grown <strong>on</strong> <strong>Si</strong> (001)<br />

The XRD rocking curve data of the 10 µm thick <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> epitaxial film grown at a<br />

rate of about 30 µm/h shows that the full width at half maximum (FWHM) of the<br />

(200) peak was 300 arcsec.<br />

The <str<strong>on</strong>g>growth</str<strong>on</strong>g> of <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> thin films <strong>on</strong> <strong>Si</strong>(001), <strong>Si</strong>(011) and <strong>Si</strong>(111) <strong>substrates</strong> using<br />

silane and propane precursors in LP<strong>CVD</strong> (400 Torr) at carb<strong>on</strong>izati<strong>on</strong><br />

temperatures 1135°C and <str<strong>on</strong>g>growth</str<strong>on</strong>g> temperature 1380°C was investigated.<br />

Different from AP<strong>CVD</strong> technique, the LP<strong>CVD</strong> method produces homogeneous films<br />

with a smoother surface but thinner films (i.e., lower <str<strong>on</strong>g>growth</str<strong>on</strong>g> rate). The rocking<br />

curve data shows a narrower FWMH and therefore a better quality is obtained<br />

when a LP<strong>CVD</strong> process is used, except for the films grown <strong>on</strong> <strong>Si</strong> (110) substrate.<br />

4.3. Transmissi<strong>on</strong> electr<strong>on</strong> microscopy (TEM)<br />

A plane view TEM micrograph (Figure 6) from the <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> film shows the presence<br />

of the stacking faults and the anti-phase boundaries.<br />

Physics of Advanced Materials Winter School 2008 5


Figure 6. Plane view TEM micrograph<br />

The cross-secti<strong>on</strong> TEM shows excellent quality and perfect alignment of films with<br />

substrate. Micro-twins and stacking faults are typical defects for <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g>/<strong>Si</strong><br />

heteroepitaxy system.<br />

Figure 7. Cross-secti<strong>on</strong> TEM (X-TEM) micrographs showing the <str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g>/<strong>Si</strong> interface: a) Low<br />

Pressure (LP) process, b) Atmospheric Pressure (LP) process <strong>on</strong> <strong>Si</strong> (100)<br />

substrate and the associated selected area electr<strong>on</strong> diffracti<strong>on</strong> images.<br />

The thickness affects str<strong>on</strong>gly the size of the defect-free domains. As Figure 7.b<br />

shows, in the AP<strong>CVD</strong> carb<strong>on</strong>izati<strong>on</strong>, a higher density of defects in initial stage of<br />

<str<strong>on</strong>g>growth</str<strong>on</strong>g> was observed. The stacking faults (SFs) al<strong>on</strong>g the (111) directi<strong>on</strong>s<br />

detected (by plan view) <strong>on</strong> the surface with a characteristic cross-shaped<br />

Physics of Advanced Materials Winter School 2008 6


diffracti<strong>on</strong> of the (200) <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> spots. The micro-twins appear in the initial stage<br />

of <str<strong>on</strong>g>growth</str<strong>on</strong>g> leading to the exposure of the (111) plane <strong>on</strong> the surface during<br />

<str<strong>on</strong>g>growth</str<strong>on</strong>g>.<br />

4.4. Low Temperature photoluminescence (LTPL)<br />

The LTPL measurements were performed <strong>on</strong> different layers grown with different<br />

<str<strong>on</strong>g>growth</str<strong>on</strong>g> rate. The samples were irradiated with 40 mW He-Cd laser (325nm) at 2K.<br />

The <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> penetrati<strong>on</strong> is 2,9 µm. In Figure 9 we see a global picture of the <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<br />

<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> LTPL spectrum. It shows that the nitrogen no-ph<strong>on</strong><strong>on</strong> line (N0) at 5210A° is<br />

absent. The peak 2 is an intrinsic defect whose no-ph<strong>on</strong><strong>on</strong> line is at 5373A°. TA,<br />

LA, TO, LO are the first order ph<strong>on</strong><strong>on</strong> replicas of N0.<br />

5. Summary<br />

Figure 9. 2K spectrum of the <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> film grown by <strong>CVD</strong><br />

A process suitable to produce high-quality <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> hetero-epitaxial films of single<br />

crystal morphology has been developed in a hot-wall <strong>CVD</strong> reactor. Epitaxial film<br />

depositi<strong>on</strong> <strong>on</strong> planar (100) <strong>Si</strong> <strong>substrates</strong> was performed at a <str<strong>on</strong>g>growth</str<strong>on</strong>g> rate ranging<br />

between 15 and 30 µm/h.<br />

The density of different defects still needs to be reduced in order to realize<br />

electr<strong>on</strong>ic devices. The carb<strong>on</strong>izati<strong>on</strong> step and compliant <strong>substrates</strong> are under<br />

investigati<strong>on</strong>. Finally, <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> membranes for MEMS applicati<strong>on</strong>s are under<br />

development.<br />

Physics of Advanced Materials Winter School 2008 7


7. References<br />

[1] E. Polychr<strong>on</strong>iadis, M. Syväjärvi, R. Yakimova, J. Stoemenos.<br />

Microstructural characterizati<strong>on</strong> of very thick freestanding <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g><br />

wafers. Journal of Crystal Growth 263 (2004), p. 68-75<br />

[2] J.A. Powell, D.J. Jarkin, L.G. Matus, W.J. Choyke, J.L. Bradshaw, L.<br />

Henders<strong>on</strong>, M. Yoganathan, J. Yang, P. Pirouz. Growth of improved<br />

quality <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> films <strong>on</strong> 6H-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> <strong>substrates</strong>. Applied Physics Letters 56<br />

(1990), p. 1353<br />

[3] S. Nishino, Y. Hazuki, H. Matsunami, T. Tanaka. Chemical Vapor<br />

Depositi<strong>on</strong> of <strong>Si</strong>ngle Crystalline β-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> Films <strong>on</strong> <strong>Si</strong>lic<strong>on</strong> Substrate with<br />

Sputtered <str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> Intermediate Layer. Journal of Electrochemical Society<br />

127 (1980), p. 2674<br />

[4] H. Matsunami, S. Nishino, T. Tanaka. Heteroepitaxial <str<strong>on</strong>g>growth</str<strong>on</strong>g> of β-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> <strong>on</strong><br />

silic<strong>on</strong> substrate using <str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g>l4-C3H8-H2 system. Journal of Crystal<br />

Growth 45 (1978), p. 138.<br />

[5] S. Nishino, J.A. Powell, H.A. Will. Producti<strong>on</strong> of Large-area <strong>Si</strong>nglecrystal<br />

Wafers of Cubic <str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> for Semic<strong>on</strong>ductors. Applied Physics Letters<br />

42 (1983), p. 460-462.<br />

[6] C.A. Zorman, A.J. Fleischman, A.S. Dewa, M. Mehregany, C. Jacob, S.<br />

Nishino, P. Pirouz. Epitaxial <str<strong>on</strong>g>growth</str<strong>on</strong>g> of <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> films <strong>on</strong> 4 in. diam (100)<br />

silic<strong>on</strong> wafers by atmospheric pressure chemical vapor depositi<strong>on</strong>.<br />

Journal of Applied Physics 78 (1995), p. 5136<br />

[7] K. Ikoma, M. Yamanaka, H. Yamaguchi, Y. Shichi. Heteroepitaxial Growth<br />

of β-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> <strong>on</strong> <strong>Si</strong>(111) by <strong>CVD</strong> using a CH<str<strong>on</strong>g>3C</str<strong>on</strong>g>l-<strong>Si</strong>H4-H2 Gas System.<br />

Journal of Electrochemical Society 138 (1991), p. 3028<br />

[8] H. Nagasawa, K. Yagi, T. Kawahara. <str<strong>on</strong>g>3C</str<strong>on</strong>g>-<str<strong>on</strong>g><strong>Si</strong>C</str<strong>on</strong>g> Hetero-epitaxial <str<strong>on</strong>g>growth</str<strong>on</strong>g> <strong>on</strong><br />

undulant <strong>Si</strong>(001) substrate. Journal of Crystal Growth 237 (2002), p.<br />

1244<br />

Physics of Advanced Materials Winter School 2008 8

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!