22.11.2014 Views

Curriculum Vitae - UCSD VLSI CAD Laboratory - UC San Diego

Curriculum Vitae - UCSD VLSI CAD Laboratory - UC San Diego

Curriculum Vitae - UCSD VLSI CAD Laboratory - UC San Diego

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

82. A. B. Kahng, “IC Layout and Manufacturability: Critical Links and Design Flow Implications”,<br />

Proc. IEEE Intl. Conf. on <strong>VLSI</strong> Design, Jan. 1999, pp. 100-105.<br />

83. A. B. Kahng, G. Robins, A. Singh and A. Zelikovsky, “New and Exact Filling Algorithms for<br />

Layout Density Control”, Proc. IEEE Intl. Conf. on <strong>VLSI</strong> Design, Jan. 1999, pp. 106-110.<br />

84. A. B. Kahng, S. Muddu, E. Sarto, “Interconnect Optimization Strategies for High-Performance<br />

<strong>VLSI</strong> Design”, Proc. IEEE Intl. Conf. on <strong>VLSI</strong> Design, Jan. 1999, pp. 464-469.<br />

85. A. B. Kahng and S. Muddu, “Improved Effective Capacitance Computations for Use in Logic and<br />

Layout Optimization”, Proc. IEEE Intl. Conf. on <strong>VLSI</strong> Design, Jan. 1999, pp. 578-582.<br />

86. A. B. Kahng, G. Robins, A. Singh and A. Zelikovsky, “New Multilevel and Hierarchical<br />

Algorithms for Layout Density Control”, Proc. Asia and South Pacific Design Automation Conf.,<br />

Jan. 1999, pp. 221-224.<br />

87. R. Baldick, A. B. Kahng, A. Kennings and I. L. Markov, “Function Smoothing with Applications<br />

to <strong>VLSI</strong> Layout”, Proc. Asia and South Pacific Design Automation Conf., Jan. 1999, pp. 225-228.<br />

Nominated for Best Paper Award.<br />

88. A. B. Kahng, P. Tucker and A. Zelikovsky, “Optimization of Linear Placements for Wirelength<br />

Minimization with Free Sites”, Proc. Asia and South Pacific Design Automation Conf., Jan. 1999,<br />

pp. 241-244. Nominated for Best Paper Award.<br />

89. A. E. Caldwell, A. B. Kahng and I. L. Markov, “Design and Implementation of the Fiduccia-<br />

Mattheyses Heuristic for <strong>VLSI</strong> Netlist Partitioning”, Proc. Workshop on Algorithm Engineering<br />

and Experimentation (ALENEX), Jan. 1999, pp. 177-193<br />

90. P. Berman, A. B. Kahng, D. Vidhani, H. Wang and A. Zelikovsky, “Optimal Phase Conflict<br />

Removal for Layout of Dark Field Alternating Phase Shifting Masks”, Proc. ACM Intl. Symp. on<br />

Physical Design, April 1999, pp. 121-126.<br />

91. A. E. Caldwell, A. B. Kahng and I. L. Markov, “Optimal Partitioners and End-Case Placers for<br />

Standard-Cell Layout”, Proc. ACM Intl. Symp. on Physical Design, April 1999, pp. 90-96.<br />

92. C. J. Alpert, A. E. Caldwell, A. B. Kahng and I. L. Markov, “Partitioning With Terminals: A<br />

'New' Problem and New Benchmarks”, Proc. ACM Intl. Symp. on Physical Design, April 1999,<br />

pp. 151-157.<br />

93. A. B. Kahng and Y. C. Pati, “Subwavelength Optical Lithography: Challenges and Impact on<br />

Physical Design”, Proc. ACM Intl. Symp. on Physical Design, April 1999, pp. 112-119.<br />

94. A. E. Caldwell, A. B. Kahng, A. A. Kennings and I. L. Markov, “Hypergraph Partitioning for<br />

<strong>VLSI</strong> <strong>CAD</strong>: Methodology for Reporting, and New Results”, Proc. ACM/IEEE Design<br />

Automation Conf., June 1999, pp. 349-354.<br />

95. A. E. Caldwell, A. B. Kahng and I. L. Markov, “Hypergraph Partitioning with Fixed Vertices”,<br />

Proc. ACM/IEEE Design Automation Conf., June 1999, pp. 355-359.<br />

96. A. E. Caldwell, H.-J. Choi, A. B. Kahng, S. Mantik, M. Potkonjak and G. Qu, “Effective Iterative<br />

Techniques for Fingerprinting Design IP”, Proc. ACM/IEEE Design Automation Conf., June 1999,<br />

pp. 843-848.<br />

97. A. B. Kahng and Y. C. Pati, “Subwavelength Lithography and its Potential Impact on Design and<br />

EDA”, Proc. ACM/IEEE Design Automation Conf., June 1999, pp. 799-804.<br />

98. P. Berman, A. B. Kahng, D. Vidhani and A. Zelikovsky, “The T-Join Problem in Sparse Graphs:<br />

Applications to Phase Assignment Problem in <strong>VLSI</strong> Mask Layout”, Proc. Workshop on<br />

Algorithms and Data Structures (WADS), LNCS (vol. 1663), August 1999, pp. 25-36.<br />

99. A. B. Kahng, S. Muddu and D. Vidhani, “Noise and Delay Uncertainty Studies for Coupled RC<br />

Interconnects”, Proc. IEEE International ASIC/SOC Conference, September 1999, pp. 3-8.<br />

100. Y. Chen, A. B. Kahng, G. Qu and A. Zelikovsky, “The Associative-Skew Clock Routing<br />

Problem”, Proc. IEEE/ACM Intl. Conference on Computer-Aided Design, November 1999, pp.<br />

168-172.<br />

101. A. B. Kahng, D. Kirovski, S. Mantik, M. Potkonjak and J. L. Wong, “Copy Detection for<br />

Intellectual Property Protection of <strong>VLSI</strong> Design”, Proc. IEEE/ACM Intl. Conference on<br />

Computer-Aided Design, November 1999, pp. 600-604.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!