22.11.2014 Views

Curriculum Vitae - UCSD VLSI CAD Laboratory - UC San Diego

Curriculum Vitae - UCSD VLSI CAD Laboratory - UC San Diego

Curriculum Vitae - UCSD VLSI CAD Laboratory - UC San Diego

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

159. Y. Chen, P. Gupta and A. B. Kahng, “Performance-Impact Limited Area Fill Synthesis”, Proc.<br />

ACM/IEEE Design Automation Conf., June 2003, pp. 22-27.<br />

160. P. Gupta, A. B. Kahng, D. Sylvester and J. Yang, “A Cost-Driven Lithographic Correction<br />

Methodology Based on Off-the-Shelf Sizing Tools”, Proc. ACM/IEEE Design Automation Conf.,<br />

June 2003, pp. 16-21.<br />

161. H. Chen, C.-K. Cheng, N.-C. Chou, A. B. Kahng, J. F. MacDonald, P. Suaris, B. Yao and Z. Zhu,<br />

“An Algebraic Multigrid Solver for Analytical Placement with Layout Based Clustering”, Proc.<br />

ACM/IEEE Design Automation Conf., June 2003, pp. 794-799.<br />

162. A. B. Kahng, I. I. Mandoiu, S. Reda, X. Xu, and A. Zelikovsky, “Design Flow Enhancements for<br />

DNA Arrays”, Proc. IEEE Intl. Conf. on Computer Design, October 2003, pp. 116-123.<br />

163. P. Gupta, A. B. Kahng, I. I. Mandoiu, and P. Sharma, “Layout-Aware Scan Chain Synthesis for<br />

Improved Path Delay Fault Coverage”, Proc. IEEE/ACM Intl. Conference on Computer-Aided<br />

Design, November 2003, pp. 754-759.<br />

164. A. B. Kahng, I. I. Mandoiu, S. Reda, X. Xu, and A. Zelikovsky, “Evaluation of Placement<br />

Techniques for DNA Probe Array Layout”, Proc. IEEE/ACM Intl. Conference on Computer-<br />

Aided Design, November 2003, pp. 262-269.<br />

165. H. Chen, C. K. Cheng, A. B. Kahng, I. I. Mandoiu, Q. Wang, and B. Yao, “The Y-Architecture<br />

for On-Chip Interconnect: Analysis and Methodology”, Proc. IEEE/ACM Intl. Conference on<br />

Computer-Aided Design, November 2003, pp. 13-19.<br />

166. P. Gupta and A. B. Kahng, “Manufacturing-Aware Physical Design”, Proc. IEEE/ACM Intl.<br />

Conference on Computer-Aided Design, November 2003, (embedded tutorial) pp. 681-687.<br />

167. P. Gupta and A. B. Kahng, “Wire Swizzling to Reduce Delay Uncertainty Due to Capacitive<br />

Coupling”, Proc. IEEE Intl. Conf. on <strong>VLSI</strong> Design , Jan 2004, pp. 431-436.<br />

168. H. Chen, C. K. Cheng, A. B. Kahng, M. Mori and Q. Wang, “Optimal Planning for Mesh-Based<br />

Power Distribution”, Proc. Asia and South Pacific Design Automation Conf., Jan. 2004, pp. 444-<br />

449.<br />

169. A. B. Kahng and S. Reda, “Combinatorial Group Testing Methods for the BIST Diagnosis<br />

Problem”, Proc. Asia and South Pacific Design Automation Conf., Jan. 2004, pp. 113-116.<br />

170. P. Gupta, A.B. Kahng, Y. Kim, and D. Sylvester, “Investigation of Performance Metrics for<br />

Interconnect Stack Architectures”, Proc. ACM International Workshop on System-Level<br />

Interconnect Prediction, Feb. 2004, pp. 23-29.<br />

171. A. B. Kahng, I. Markov and S. Reda, “Boosting: Min-Cut Placement with Improved Signal<br />

Delay”, Proc. Design Automation and Testing in Europe, Feb. 2004, pp. 1098-1103.<br />

172. A. B. Kahng, I. I. Mandoiu, Q. Wang, X. Xu, and A. Zelikovsky, “MultiProject Reticle<br />

Floorplanning and Wafer Dicing”, Proc. ACM/IEEE Intl. Symp. on Physical Design, April 2004,<br />

pp. 70-77.<br />

173. A. B. Kahng, and Q. Wang, “Implementation and Extensibility of an Analytic Placer”, Proc.<br />

ACM/IEEE Intl. Symp. on Physical Design, April 2004, pp. 18-25.<br />

174. A. B. Kahng, I. L. Markov and S. Reda, “On Legalization of Row-Based Placements”, Proc.<br />

ACM/IEEE GLS<strong>VLSI</strong>, April 2004, pp. 214-219.<br />

175. A. B. Kahng and S. Reda, “Placement Feedback: A Concept and Method for Better Min-Cut<br />

Placements”, Proc. ACM/IEEE Design Automation Conf., June 2004, pp.357-362.<br />

176. P. Gupta, A. B. Kahng, P. Sharma and D. Sylvester, “Selective Gate-Length Biasing for Cost-<br />

Effective Runtime Leakage Control”, Proc. ACM/IEEE Design Automation Conf., June 2004, pp.<br />

327-330.<br />

177. D. A. Antonelli, T. J. Dysart, D. Z. Chen, X. S. Hu, A. B. Kahng, P. M. Kogge and R. C. Murphy,<br />

“Quantum-Dot Cellular Automata (QCA) Circuit Partitioning: Problem Modeling and Solutions”,<br />

Proc. ACM/IEEE Design Automation Conf., June 2004, pp. 363-368.<br />

178. L. Capodieci, P. Gupta, A. B. Kahng, D. Sylvester and J. Yang, “Toward a Methodology for<br />

Manufacturability Driven Design Rule Exploration”, Proc. ACM/IEEE Design Automation Conf.,<br />

June 2004, pp. 311-316.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!