22.11.2014 Views

Curriculum Vitae - UCSD VLSI CAD Laboratory - UC San Diego

Curriculum Vitae - UCSD VLSI CAD Laboratory - UC San Diego

Curriculum Vitae - UCSD VLSI CAD Laboratory - UC San Diego

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

122. C. K. Cheng, A. B. Kahng and B. Liu, “Interconnect Implications of Growth-Based Structural<br />

Models for <strong>VLSI</strong> Circuits”, Proc. ACM International Workshop on System-Level Interconnect<br />

Prediction, April 2001, pp. 99-106.<br />

123. K. D. Boese, A. B. Kahng and S. Mantik, “On the Relevance of Wire Load Models”, Proc. ACM<br />

International Workshop on System-Level Interconnect Prediction, April 2001, pp. 91-98.<br />

124. C. J. Alpert, M. Hrkic, J. Hu, A. B. Kahng, J. Lillis, B. Liu, S. T. Quay, S. S. Sapatnekar, A. J.<br />

Sullivan and P. Villarrubia, “Buffered Steiner Trees for Difficult Instances”, Proc. ACM/IEEE<br />

Intl. Symp. on Physical Design, April 2001, pp. 4-9.<br />

125. F. Dragan, A. B. Kahng, I. Mandoiu, S. Muddu and A. Zelikovsky, “Practical Approximation<br />

Algorithms for Separable Packing Linear Programs”, Proc. 7th International Workshop on<br />

Algorithms and Data Structures, August 2001, pp. 325-337.<br />

126. C. Albrecht, A. B. Kahng, B. Liu, I. Mandoiu and A. Zelikovsky, “On the Skew-Bounded<br />

Minimum Buffer Routing Tree Problem”, Proc. The Tenth Workshop on Synthesis And System<br />

Integration of Mixed Technologies, October 2001, pp. 250-256.<br />

127. A. B. Kahng, R. Kastner, S. Mantik, M. Sarrafzadeh, and X. Yang, “Studies of Timing Structural<br />

Properties for Early Evaluation of Circuit Design”, Proc. The Tenth Workshop on Synthesis and<br />

System Integration of Mixed Technologies, October 2001, pp. 285-292.<br />

128. C. Alpert, A. B. Kahng, B. Liu, I. Mandoiu and A. Zelikovsky, “Minimum-Buffered Routing of<br />

Non-Critical Nets for Slew Rate and Reliability Control”, Proc. IEEE-ACM Intl. Conf. on<br />

Computer-Aided Design, November 2001, pp. 408-415.<br />

129. C. Albrecht, A. B. Kahng, I. Mandoiu and A. Zelikovsky, “Floorplan Evaluation with Timing-<br />

Driven Global Wireplanning, Pin Assignment, and Buffer/Wire Sizing”, Proc. Intl. Conf. on<br />

<strong>VLSI</strong> Design/ASPDAC, January 2002, pp. 580-587. Best Paper Award out of 269 submissions.<br />

130. A. Kahng and S. Mantik, “Measurement of Inherent Noise in EDA Tools”, Proc. International<br />

Symposium on Quality in Electronic Design, March 2002, pp. 206-211.<br />

131. A. Kahng and G. Smith, “A New Design Cost Model for 2001 ITRS”, Proc. International<br />

Symposium on Quality Electronic Design, March 2002, pp. 190-193.<br />

132. Y. Chen, A. B. Kahng, G. Robins and A. Zelikovsky, “Monte-Carlo Methods for Chemical-<br />

Mechanical Planarization on Multiple-Layer and Dual-Material Models”, Proc. SPIE Conference<br />

on Design and Process Integration for Microelectronic Manufacturing, <strong>San</strong>ta Clara, March 2002,<br />

pp. 421-432.<br />

133. A. B. Kahng, “Design-Manufacturing Integration and Shared “Brick Walls” “, Proc. SPIE<br />

Conference on Design and Process Integration for Microelectronic Manufacturing, <strong>San</strong>ta Clara,<br />

March 2002, pp. 390-400.<br />

134. Y. Chen, A. B. Kahng, G. Robins and A. Zelikovsky, “Closing the Smoothness and Uniformity<br />

Gap in Area Fill Synthesis”, Proc. ACM/IEEE Intl. Symp. on Physical Design, April 2002, pp.<br />

137-142.<br />

135. A. B. Kahng, S. Mantik and I. L. Markov, “Min-Max Placements for Large-Scale Timing<br />

Optimization”, Proc. ACM/IEEE Intl. Symp. on Physical Design, April 2002, pp. 143-148.<br />

136. A. B. Kahng, “A Roadmap and Vision for Physical Design”, Proc. ACM/IEEE Intl. Symp. on<br />

Physical Design, April 2002, pp. 112-117.<br />

137. C. Bandela, Y. Chen, A. B. Kahng, I. I. Mandoiu and A. Zelikovsky, “Auctions with Buyer<br />

Preferences”, Information Systems: The E-Business Challenge - Proc. 17th IFIP World<br />

Computer Congress, Kluwer Academic Publishers, 2002, pp. 221-238.<br />

138. Y. Cao, P. Gupta, A. B. Kahng, D. Sylvester and J. Yang, “Design Sensitivities to Variability:<br />

Extrapolation and Assessments in Nanometer <strong>VLSI</strong>”, Proc. IEEE ASIC/SoC Conference,<br />

September 2002, pp. 411-415.<br />

139. A. B. Kahng, I. I. Mandoiu, P. A. Pevzner, S. Reda, and A. A. Zelikovsky, “Border Length<br />

Minimization in DNA Array Design”, Proc. 2nd Workshop on Algorithms in Bioinformatics<br />

(WABI), September 2002, pp. 435-448.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!