13.01.2015 Views

Carbon AXI to AXI Async Bridge Model User Guide - Carbon Design ...

Carbon AXI to AXI Async Bridge Model User Guide - Carbon Design ...

Carbon AXI to AXI Async Bridge Model User Guide - Carbon Design ...

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>AXI</strong> <strong>to</strong> <strong>AXI</strong> <strong>Async</strong>hronous <strong>Bridge</strong><br />

<strong>Model</strong> <strong>User</strong> <strong>Guide</strong><br />

for SoC <strong>Design</strong>er Plus<br />

<strong>Carbon</strong> <strong>Model</strong> Version 4.1.0<br />

<strong>Carbon</strong> <strong>Model</strong>s:<br />

CM_<strong>Bridge</strong>_Axi_Axi_ASync_32<br />

CM_<strong>Bridge</strong>_Axi_Axi_ASync_64<br />

CM_<strong>Bridge</strong>_Axi_Axi_ASync_128<br />

The Trusted Path <strong>to</strong> Accuracy <br />

The information contained in this document is confidential information of <strong>Carbon</strong> <strong>Design</strong> Systems, Inc.,<br />

and may not be duplicated or disclosed <strong>to</strong> unauthorized and/or third parties.


Copyright<br />

Copyright © 2003-2013 <strong>Carbon</strong> <strong>Design</strong> Systems, Inc. All rights reserved.<br />

Files, documents or portions thereof presented on the <strong>Carbon</strong> <strong>Design</strong> Systems Internet server “Publication”, permits persons<br />

<strong>to</strong> view, copy, and print the Publication subject <strong>to</strong> the following conditions:<br />

• The Publication are <strong>to</strong> be kept strictly confidential<br />

• Copies of the Publication will not be distributed<br />

• Copies of the Publication must include the <strong>Carbon</strong> <strong>Design</strong> Systems copyright notice<br />

• <strong>Carbon</strong> <strong>Design</strong> Systems logos may only be used with <strong>Carbon</strong>'s expressed written permission, including but not limited<br />

<strong>to</strong>: linking through hyperlinks, electronic display, and print format.<br />

Disclaimer of Warranty<br />

This publication is provided “as is” without warranty of any kind, either expressed or implied, including, but not limited<br />

<strong>to</strong>, the implied warranties of merchantability, fitness for a particular purpose, or non-infringement. <strong>Carbon</strong> <strong>Design</strong> Systems<br />

assumes no responsibility for errors or omissions in this publication or other documents which are referenced by or<br />

linked <strong>to</strong> this publication.<br />

References <strong>to</strong> corporations, their services and products, are provided “as is” without warranty of any kind, either<br />

expressed or implied. In no event shall <strong>Carbon</strong> <strong>Design</strong> Systems be liable for any special, incidental, indirect or consequential<br />

damages of any kind, or any damages whatsoever, including, without limitation, those resulting from loss of<br />

use, data or profits, whether or not advised of the possibility of damage, and on any theory of liability, arising out of or in<br />

connection with the use or performance of this information.<br />

This publication may include technical or other inaccuracies or typographical errors. <strong>Carbon</strong> <strong>Design</strong> Systems may make<br />

improvements and/or changes in the product(s) and/or the program(s) described in this publication and in the publication<br />

itself at any time.<br />

Trademarks<br />

© 2003-2013 <strong>Carbon</strong> <strong>Design</strong> Systems, Inc. All rights reserved. <strong>Carbon</strong> <strong>Design</strong> Systems, the <strong>Carbon</strong> <strong>Design</strong> Systems<br />

logo, <strong>Carbon</strong> <strong>Model</strong> Studio, Replay, OnDemand, SoC <strong>Design</strong>er, Software Before Silicon, SOC-VSP, Swap & Play, VSP,<br />

The Answer <strong>to</strong> Validation, and The Trusted Path <strong>to</strong> Accuracy are trademarks or registered trademarks of <strong>Carbon</strong> <strong>Design</strong><br />

Systems, Incorporated in the United States and/or other countries.<br />

ARM, AMBA and RealView are registered trademarks of ARM Limited. AHB, APB and <strong>AXI</strong> are trademarks of ARM<br />

Limited. “ARM” is used <strong>to</strong> represent ARM Holdings plc; its operating company ARM Limited; and the regional subsidiaries<br />

ARM INC.; ARM KK; ARM Korea Ltd.; ARM Taiwan; ARM France SAS; ARM Consulting (Shanghai) Co.<br />

Ltd.; ARM Belgium N.V.; ARM Embedded Technologies Pvt. Ltd.; and ARM Physical IP, Inc.<br />

Microsoft, Windows 2000, and Windows XP are trademarks or registered trademarks of Microsoft Corporation in the<br />

United States and/or other countries.<br />

SystemC is a trademark of the Open SystemC Initiative.<br />

All other trademarks, registered trademarks, and products referenced herein are the property of their respective owners.<br />

<strong>Carbon</strong> <strong>Design</strong> Systems, Inc. Confidential


Technical Support<br />

If you have questions or problems concerning <strong>Carbon</strong> software, contact Technical Support.<br />

Phone Support Hours: Monday–Friday<br />

9:00 am–5:00 pm EST<br />

<strong>Carbon</strong> <strong>Design</strong> Systems, Inc.<br />

125 Nagog Park<br />

Ac<strong>to</strong>n, MA 01720<br />

Voice: +1-978-264-7399<br />

Asia: +81-3-5524-1288<br />

Fax: +1-978-264-9990<br />

Email: support@carbondesignsystems.com<br />

Web: www.carbondesignsystems.com<br />

Voice mail is available after hours. You may also access our on-line feedback form any time from the Support page of<br />

the <strong>Carbon</strong> web site.<br />

Document revised August 2013.


<strong>Carbon</strong> <strong>Design</strong> Systems, Inc. Confidential


Contents<br />

Chapter 1.<br />

Using the <strong>Model</strong> in SoC <strong>Design</strong>er Plus<br />

<strong>Async</strong>hronous <strong>AXI</strong> <strong>to</strong> <strong>AXI</strong> <strong>Bridge</strong> <strong>Model</strong> Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-1<br />

<strong>Async</strong>hronous <strong>AXI</strong> <strong>to</strong> <strong>AXI</strong> <strong>Bridge</strong> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-2<br />

<strong>Model</strong> Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-2<br />

Component Ports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-3<br />

Component Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-4<br />

Debug Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-6<br />

Register Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-6<br />

Available Profiling Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-10<br />

<strong>Carbon</strong> <strong>Design</strong> Systems, Inc. Confidential


vi<br />

Contents<br />

<strong>Carbon</strong> <strong>Design</strong> Systems, Inc. Confidential


Preface<br />

About This <strong>Guide</strong><br />

A <strong>Carbon</strong> <strong>Model</strong> component is a library that is generated through <strong>Carbon</strong> <strong>Model</strong> Studio.<br />

The model then can be used within a virtual platform <strong>to</strong>ol, for example, <strong>Carbon</strong> SoC<br />

<strong>Design</strong>er Plus.<br />

This guide provides all the information needed <strong>to</strong> configure and use a <strong>Carbon</strong> <strong>Async</strong>hronous<br />

<strong>AXI</strong> <strong>to</strong> <strong>AXI</strong> <strong>Bridge</strong> in <strong>Carbon</strong> SoC <strong>Design</strong>er Plus.<br />

Audience<br />

This guide is intended for experienced hardware and software developers who create components<br />

for use with SoC <strong>Design</strong>er Plus. You should be familiar with the following products<br />

and technology:<br />

• <strong>Carbon</strong> SoC <strong>Design</strong>er Plus<br />

• Hardware design verification<br />

• Verilog or VHDL programming language<br />

<strong>Carbon</strong> <strong>Design</strong> Systems, Inc. Confidential


viii<br />

Preface<br />

Conventions<br />

This guide uses the following conventions:<br />

Convention Description Example<br />

courier<br />

italic<br />

bold<br />

<br />

Commands, functions,<br />

variables, routines, and<br />

code examples that are set<br />

apart from ordinary text.<br />

New or unusual words or<br />

phrases appearing for the<br />

first time.<br />

Action that the user performs.<br />

Values that you fill in, or<br />

that the system au<strong>to</strong>matically<br />

supplies.<br />

sparseMem_t SparseMemCreate-<br />

New();<br />

Transac<strong>to</strong>rs provide the entry and exit<br />

points for data ...<br />

Click Close <strong>to</strong> close the dialog.<br />

/ represents the name of<br />

various platforms.<br />

[ text ] Square brackets [ ] indicate<br />

optional text.<br />

[ text1 | text2 ] The vertical bar | indicates<br />

“OR,” meaning that you<br />

can supply text1 or text 2.<br />

$CARBON_HOME/bin/modelstudio<br />

[ ]<br />

$CARBON_HOME/bin/modelstudio<br />

[.symtab.db |<br />

.ccfg ]<br />

Also note the following references:<br />

• References <strong>to</strong> C code implicitly apply <strong>to</strong> C++ as well.<br />

• File names ending in .cc, .cpp, or .cxx indicate a C++ source file.<br />

<strong>Carbon</strong> <strong>Design</strong> Systems, Inc. Confidential


Preface<br />

ix<br />

Further reading<br />

This section lists related publications by <strong>Carbon</strong> and by third parties.<br />

<strong>Carbon</strong> SoC <strong>Design</strong>er Plus Documentation<br />

The following publications provide information that relate directly <strong>to</strong> SoC <strong>Design</strong>er Plus:<br />

• <strong>Carbon</strong> SoC <strong>Design</strong>er Plus Installation <strong>Guide</strong><br />

• <strong>Carbon</strong> SoC <strong>Design</strong>er Plus <strong>User</strong> <strong>Guide</strong><br />

• <strong>Carbon</strong> SoC <strong>Design</strong>er Plus Standard Component Library Reference Manual<br />

• <strong>Carbon</strong> SoC <strong>Design</strong>er Plus <strong>AXI</strong>v2 Pro<strong>to</strong>col Bundle <strong>User</strong> <strong>Guide</strong><br />

External publications<br />

The following publications provide reference information about ARM® products:<br />

• AMBA <strong>Design</strong> Kit Technical Reference Manual<br />

• AMBA Specification<br />

• AMBA <strong>AXI</strong> Pro<strong>to</strong>col Specification<br />

• Architecture Reference Manual<br />

See http://infocenter.arm.com/help/index.jsp for access <strong>to</strong> ARM documentation.<br />

<strong>Carbon</strong> <strong>Design</strong> Systems, Inc. Confidential


x<br />

Preface<br />

Glossary<br />

AMBA<br />

AHB<br />

APB<br />

<strong>AXI</strong><br />

<strong>Carbon</strong> <strong>Model</strong><br />

<strong>Carbon</strong> <strong>Model</strong><br />

Studio<br />

CASI<br />

CADI<br />

CAPI<br />

Component<br />

ESL<br />

HDL<br />

RTL<br />

SoC <strong>Design</strong>er<br />

SystemC<br />

Transac<strong>to</strong>r<br />

Advanced Microcontroller Bus Architecture. The ARM open standard on-chip<br />

bus specification that describes a strategy for the interconnection and management<br />

of functional blocks that make up a System-on-Chip (SoC).<br />

Advanced High-performance Bus. A bus pro<strong>to</strong>col with a fixed pipeline<br />

between address/control and data phases. It only supports a subset of the functionality<br />

provided by the AMBA <strong>AXI</strong> pro<strong>to</strong>col.<br />

Advanced Peripheral Bus. A simpler bus pro<strong>to</strong>col than <strong>AXI</strong> and AHB. It is<br />

designed for use with ancillary or general-purpose peripherals such as timers,<br />

interrupt controllers, UARTs, and I/O ports.<br />

Advanced eXtensible Interface. A bus pro<strong>to</strong>col that is targeted at high performance,<br />

high clock frequency system designs and includes a number of features<br />

that make it very suitable for high speed sub-micron interconnect.<br />

A software object created by the <strong>Carbon</strong> <strong>Model</strong> Studio (or <strong>Carbon</strong> compiler)<br />

from an RTL design. The <strong>Carbon</strong> <strong>Model</strong> contains a cycle- and register-accurate<br />

model of the hardware design.<br />

<strong>Carbon</strong>’s graphical <strong>to</strong>ol for generating, validating, and executing hardwareaccurate<br />

software models. It creates a <strong>Carbon</strong> <strong>Model</strong>, and it also takes a <strong>Carbon</strong><br />

<strong>Model</strong> as input and generates a <strong>Carbon</strong> component that can be used in<br />

SoC <strong>Design</strong>er Plus, Platform Architect, or OSCI SystemC for simulation.<br />

Cycle Accurate Simulation Interface, is based on the SystemC communication<br />

library and manages the interconnection of components and communication<br />

between components.<br />

Cycle Accurate Debug Interface, enables reading and writing memory and<br />

register values and also provides the interface <strong>to</strong> external debuggers.<br />

Cycle Accurate Profiling Interface, enables collecting his<strong>to</strong>rical data from a<br />

component and displaying the results in various formats.<br />

Building blocks used <strong>to</strong> create simulated systems. Components are connected<br />

<strong>to</strong>gether with unidirectional transaction-level or signal-level connections.<br />

Electronic System Level. A type of design and verification methodology that<br />

models the behavior of an entire system using a high-level language such as C<br />

or C++.<br />

Hardware Description Language. A language for formal description of electronic<br />

circuits, for example, Verilog or VHDL.<br />

Register Transfer Level. A high-level hardware description language (HDL)<br />

for defining digital circuits.<br />

The full name is <strong>Carbon</strong> SoC <strong>Design</strong>er Plus. A high-performance, cycle accurate<br />

simulation framework which is targeted at System-on-a-Chip hardware<br />

and software debug, as well as architectural exploration.<br />

SystemC is a single, unified design and verification language that enables verification<br />

at the system level, independent of any detailed hardware and software<br />

implementation, as well as enabling co-verification with RTL design.<br />

Transaction adap<strong>to</strong>rs. You add transac<strong>to</strong>rs <strong>to</strong> your <strong>Carbon</strong> component <strong>to</strong> connect<br />

your component directly <strong>to</strong> transaction level interface ports for your particular<br />

platform.<br />

<strong>Carbon</strong> <strong>Design</strong> Systems, Inc. Confidential


Chapter 1<br />

Using the <strong>Model</strong> in SoC <strong>Design</strong>er Plus<br />

This chapter describes the functionality of the <strong>Model</strong>, and how <strong>to</strong> use it in <strong>Carbon</strong><br />

SoC <strong>Design</strong>er Plus. It contains the following sections:<br />

• <strong>Async</strong>hronous <strong>AXI</strong> <strong>to</strong> <strong>AXI</strong> <strong>Bridge</strong> <strong>Model</strong> Overview<br />

• Component Ports<br />

• Component Parameters<br />

• Debug Features<br />

• Available Profiling Data<br />

1.1 <strong>Async</strong>hronous <strong>AXI</strong> <strong>to</strong> <strong>AXI</strong> <strong>Bridge</strong> <strong>Model</strong> Overview<br />

The <strong>Carbon</strong> <strong>Async</strong>hronous <strong>AXI</strong> <strong>to</strong> <strong>AXI</strong> <strong>Bridge</strong> enables two <strong>AXI</strong> clock domains <strong>to</strong> communicate.<br />

This is illustrated in Figure 1-1.<br />

<strong>AXI</strong><br />

Master<br />

Domain 1<br />

<strong>AXI</strong> <strong>to</strong> <strong>AXI</strong><br />

<strong>Async</strong>hronous<br />

bridge<br />

Domain 2<br />

<strong>AXI</strong><br />

Master<br />

<strong>AXI</strong><br />

Master<br />

<strong>AXI</strong><br />

Master<br />

<strong>AXI</strong><br />

Slave<br />

<strong>AXI</strong> Slave<br />

interface<br />

<strong>AXI</strong> Master<br />

interface<br />

<strong>AXI</strong><br />

Slave<br />

Figure 1-1 Connection of <strong>AXI</strong> Subsystems through a <strong>Async</strong>hronous <strong>AXI</strong> <strong>to</strong> <strong>AXI</strong><br />

<strong>Bridge</strong><br />

The available models are described in the next section.<br />

<strong>Carbon</strong> <strong>Design</strong> Systems, Inc. Confidential


1-2 Using the <strong>Model</strong> in SoC <strong>Design</strong>er Plus<br />

1.1.1 <strong>Async</strong>hronous <strong>AXI</strong> <strong>to</strong> <strong>AXI</strong> <strong>Bridge</strong><br />

The <strong>AXI</strong> <strong>to</strong> <strong>AXI</strong> <strong>Async</strong>hronous <strong>Bridge</strong> is provided in three versions that support different<br />

data bus widths:<br />

Table 1-1 <strong>Async</strong>hronous <strong>AXI</strong> <strong>to</strong> <strong>AXI</strong> <strong>Bridge</strong> Components<br />

Component<br />

CM_<strong>Bridge</strong>_Axi_Axi_ASync_32<br />

CM_<strong>Bridge</strong>_Axi_Axi_ASync_64<br />

CM_<strong>Bridge</strong>_Axi_Axi_ASync_128<br />

Description<br />

Converts transactions for a 32-bit wide data bus.<br />

Converts transactions for a 64-bit wide data bus.<br />

Converts transactions for a 128-bit wide data bus.<br />

Figure 1-2 shows a simple configuration using the <strong>Async</strong>hronous <strong>AXI</strong> <strong>to</strong> <strong>AXI</strong> <strong>Bridge</strong>.<br />

Domain 1<br />

Domain 2<br />

<strong>AXI</strong><br />

Master<br />

<strong>AXI</strong><br />

<strong>AXI</strong><br />

<strong>AXI</strong><br />

Master<br />

<strong>AXI</strong><br />

Master<br />

<strong>AXI</strong><br />

<strong>AXI</strong><br />

<strong>AXI</strong> <strong>to</strong> <strong>AXI</strong><br />

<strong>Async</strong>hronous<br />

bridge<br />

<strong>AXI</strong><br />

<strong>AXI</strong><br />

<strong>AXI</strong><br />

Master<br />

<strong>AXI</strong><br />

Slave<br />

<strong>AXI</strong><br />

<strong>AXI</strong> Slave<br />

interface<br />

<strong>AXI</strong> Master<br />

interface<br />

<strong>AXI</strong><br />

<strong>AXI</strong><br />

Slave<br />

1.1.2 <strong>Model</strong> Features<br />

Figure 1-2 <strong>AXI</strong> <strong>to</strong> <strong>AXI</strong> <strong>Async</strong>hronous <strong>Bridge</strong> Configuration<br />

The <strong>Async</strong>hronous <strong>AXI</strong> <strong>to</strong> <strong>AXI</strong> <strong>Bridge</strong>s provide the following features:<br />

• Support for 32-, 64, and 128-bit data bus widths.<br />

• Channel-specific variable-depth payload buffers.<br />

• FIFO buffer depth set <strong>to</strong> 8 for each <strong>AXI</strong> channel.<br />

<strong>Carbon</strong> <strong>Design</strong> Systems, Inc. Confidential


Component Ports 1-3<br />

1.2 Component Ports<br />

The following figure shows a 32-bit wide version of an <strong>AXI</strong> <strong>to</strong> <strong>AXI</strong> <strong>Async</strong>hronous <strong>Bridge</strong><br />

on the SoC <strong>Design</strong>er Plus Canvas.<br />

Figure 1-3 Components in SoC <strong>Design</strong>er Plus<br />

Table 1-2 describes the ESL ports that are exposed in SoC <strong>Design</strong>er Plus for the <strong>Async</strong>hronous<br />

<strong>AXI</strong> <strong>to</strong> <strong>AXI</strong> <strong>Bridge</strong>.<br />

Table 1-2 ESL Component Ports<br />

ESL Port Description Direction Type<br />

ACLKS<br />

Slave interface clock. This clock times all bus<br />

transfers. All signal timings on the Slave interface<br />

are related <strong>to</strong> the rising edge of ACLKS.<br />

Input<br />

Clock slave<br />

ARESETSn Reset port for resetting the Slave interface. Input Signal slave<br />

axi_s <strong>AXI</strong>v2 transaction slave port. Input Transaction<br />

slave<br />

ACLKM Master interface clock. This clock times all bus<br />

transfers. All signal timings on the Master interface<br />

are related <strong>to</strong> the rising edge of ACLKM.<br />

Input Clock slave<br />

ARESETMn Reset port for resetting the Master interface. Input Signal slave<br />

axi_m <strong>AXI</strong>v2 transaction master port. Output Transaction<br />

master<br />

clk-in Input clock. The component is clocked at the frequency<br />

of the clock connected <strong>to</strong> the clk-in port.<br />

If the clk-in port is not connected, clocking is<br />

taken from SoC <strong>Design</strong>er Plus System Properties.<br />

If it is connected, then it must be connected <strong>to</strong><br />

the fastest clock. For example, if ACLKM is the<br />

faster domain, then ACLKM and clk-in should be<br />

connected <strong>to</strong> the same source.<br />

Input Clock slave<br />

<strong>Carbon</strong> <strong>Design</strong> Systems, Inc. Confidential


1-4 Using the <strong>Model</strong> in SoC <strong>Design</strong>er Plus<br />

1.3 Component Parameters<br />

You can change the settings of all the component parameters in SoC <strong>Design</strong>er Plus Canvas,<br />

and of some of the parameters in SoC <strong>Design</strong>er Plus Simula<strong>to</strong>r. The following figure<br />

shows the component parameters in the Edit Parameters dialog box.<br />

Figure 1-4 Component Parameters Dialog Box<br />

Table 1-3 describes the component parameters that are available for the <strong>Async</strong>hronous<br />

<strong>AXI</strong> <strong>to</strong> <strong>AXI</strong> <strong>Bridge</strong>.<br />

Parameters that may be modified at runtime are identified with Yes in the Runtime column,<br />

otherwise the parameter values are fixed and must be set before the start of simulation.<br />

Table 1-3 Component Parameters<br />

Name<br />

Description<br />

Allowed<br />

Values<br />

Default<br />

Value<br />

Runtime<br />

Align Waveforms<br />

axi_m Enable Debug<br />

Messages<br />

When set <strong>to</strong> true, waveforms dumped<br />

from <strong>Carbon</strong> components are aligned<br />

with the SoC <strong>Design</strong>er Plus simulation<br />

time. The reset sequence, however,<br />

is not included in the dumped<br />

data.<br />

When false, the reset sequence is<br />

dumped <strong>to</strong> waveform data, however,<br />

the <strong>Carbon</strong> component time is not<br />

aligned with the SoC <strong>Design</strong>er Plus<br />

time.<br />

When set <strong>to</strong> true, writes <strong>AXI</strong> master<br />

debug messages <strong>to</strong> the SoC <strong>Design</strong>er<br />

Plus output window.<br />

true, false true No<br />

true, false false Yes<br />

<strong>Carbon</strong> <strong>Design</strong> Systems, Inc. Confidential


Component Parameters 1-5<br />

Table 1-3 Component Parameters (Continued)<br />

Name<br />

Description<br />

Allowed<br />

Values<br />

Default<br />

Value<br />

Runtime<br />

axi_s axi_size[0-5] 1<br />

These parameters are obsolete and 0x0 -<br />

should be left at their default values. 2 0xFFFFFFFF<br />

axi_s axi_start[0-5] 0x0 -<br />

0xFFFFFFFF<br />

axi_s Enable Debug<br />

Messages<br />

<strong>Carbon</strong> DB Path<br />

Dump Waveforms<br />

Enable Debug<br />

Messages<br />

When set <strong>to</strong> true, writes <strong>AXI</strong> slave<br />

debug messages <strong>to</strong> the SoC <strong>Design</strong>er<br />

Plus output window.<br />

Sets the direc<strong>to</strong>ry path <strong>to</strong> the <strong>Carbon</strong><br />

database file.<br />

Whether SoC <strong>Design</strong>er Plus dumps<br />

waveforms for this component.<br />

Enable or disable the capture of debug<br />

messages for the component.<br />

size 0 default is<br />

0x100000000, size<br />

1-5 default is 0x0<br />

0x0<br />

No<br />

No<br />

true, false false Yes<br />

Not Used empty No<br />

true, false false Yes<br />

true, false false Yes<br />

Waveform File 3 Name of the waveform file. string carbon_CM_<strong>Bridge</strong><br />

_Axi_Axi_ASync_<br />

.vcd<br />

Waveform Format<br />

Waveform Timescale<br />

The format of the waveform dump<br />

file.<br />

Sets the timescale <strong>to</strong> be used in the<br />

waveform.<br />

No<br />

VCD, FSDB VCD No<br />

Many values<br />

in drop-down<br />

1 ns No<br />

1. The square brackets specify that a range of numbers that are available. For example, the parameter name for<br />

the start addresses “axi_s axi_start[0-5]” will be expanded <strong>to</strong> 6 parameter name combinations that range from<br />

“axi_s axi_start 0” <strong>to</strong> “axi_s axi_start 5”. The size of a memory region depends on the “axi_s axi_start” and<br />

“axi_s axi_size” parameters. The end address is calculated as Start + Size -1. The size of the memory region<br />

must not exceed the value of 0x100000000. If the sum of Start+Size is greater than 0x100000000, the size of<br />

the memory region is reduced <strong>to</strong> the difference: 0x100000000-Start.<br />

2. <strong>Carbon</strong> recommends using the Memory Map Edi<strong>to</strong>r (MME) in SoC <strong>Design</strong>er Plus, which provides centralized<br />

viewing and management of the memory regions available <strong>to</strong> the components in a system. For information<br />

about migrating existing systems <strong>to</strong> use the MME, refer <strong>to</strong> Chapter 9 of the SoC <strong>Design</strong>er Plus <strong>User</strong> <strong>Guide</strong>.<br />

3. When enabled, SoC <strong>Design</strong>er Plus writes waveforms <strong>to</strong> the waveform file at the following times: when the<br />

waveform buffer fills, when validation is paused and when validation finishes, and at the end of each validation<br />

run.<br />

<strong>Carbon</strong> <strong>Design</strong> Systems, Inc. Confidential


1-6 Using the <strong>Model</strong> in SoC <strong>Design</strong>er Plus<br />

1.4 Debug Features<br />

The <strong>Async</strong>hronous <strong>AXI</strong> <strong>to</strong> <strong>AXI</strong> <strong>Bridge</strong> has a debug interface (CADI) that allows you <strong>to</strong><br />

track the values of the applicable <strong>AXI</strong> signals. A view can be accessed in SoC <strong>Design</strong>er<br />

Plus by right-clicking on the component and choosing the appropriate menu entry.<br />

Transactions can be visualized using the transaction moni<strong>to</strong>rs attached <strong>to</strong> connections. By<br />

right clicking on any of the connections in SoC <strong>Design</strong>er Plus, a transaction moni<strong>to</strong>r probe<br />

can be attached.<br />

1.4.1 Register Information<br />

Figure 1-5 shows the Register view of the <strong>Async</strong>hronous <strong>AXI</strong> <strong>to</strong> <strong>AXI</strong> <strong>Bridge</strong> model in<br />

SoC <strong>Design</strong>er Plus Simula<strong>to</strong>r. Note that the items are signals, not registers.<br />

Figure 1-5 Registers View<br />

The available signals are listed in the following sections:<br />

• <strong>AXI</strong> Slave Port Signals Registers<br />

• <strong>AXI</strong> Master Port Signals Registers<br />

<strong>Carbon</strong> <strong>Design</strong> Systems, Inc. Confidential


Debug Features 1-7<br />

1.4.1.1 <strong>AXI</strong> Slave Port Signals Registers<br />

Table 1-4 shows the <strong>AXI</strong> slave port signals. See the ARM AMBA <strong>AXI</strong> Pro<strong>to</strong>col Specification<br />

for more information about these signals.<br />

Table 1-4 <strong>AXI</strong> Slave Port Signal Registers<br />

Name Description Type<br />

ARIDS The read address ID. read-only<br />

ARADDRS The read address. read-only<br />

ARVALIDS Indicates whether the read address is available. read-only<br />

ARREADYS Indicates whether the slave is ready <strong>to</strong> accept the read address. read-only<br />

ARLENS The burst length. read-only<br />

ARSIZES The burst size. read-only<br />

ARBURSTS The burst type. read-only<br />

ARLOCKS The lock type. read-only<br />

ARCACHES The cache type. read-only<br />

ARPROTS The protection type. read-only<br />

AWIDS The write address ID. read-only<br />

AWADDRS The write address. read-only<br />

AWVALIDS Indicates whether the write address is available. read-only<br />

AWREADYS Indicates whether the slave is ready <strong>to</strong> accept the write address. read-only<br />

AWLENS The burst length. read-only<br />

AWSIZES The burst size. read-only<br />

AWBURSTS The burst type. read-only<br />

AWLOCKS The lock type. read-only<br />

AWCACHES The cache type. read-only<br />

AWPROTS The protection type. read-only<br />

WIDS The write ID tag. read-only<br />

WDATAS The write data. read-only<br />

WSTRBS The write strobes. read-only<br />

WLASTS The last transfer in a write burst. read-only<br />

WVALIDS Indicates whether the write data and strobes are available. read-only<br />

WREADYS Indicates whether the slave is ready <strong>to</strong> accept the write data. read-only<br />

RIDS The read ID tag. read-only<br />

RDATAS The read data. read-only<br />

RLASTS The last transfer in a read burst. read-only<br />

RVALIDS Indicates whether the read data is available. read-only<br />

<strong>Carbon</strong> <strong>Design</strong> Systems, Inc. Confidential


1-8 Using the <strong>Model</strong> in SoC <strong>Design</strong>er Plus<br />

Table 1-4 <strong>AXI</strong> Slave Port Signal Registers (Continued)<br />

Name Description Type<br />

RREADYS<br />

Indicates whether the master is ready <strong>to</strong> accept the read data<br />

and response information.<br />

read-only<br />

RRESPS The read response. read-only<br />

BIDS The response ID. read-only<br />

BRESPS The write response. read-only<br />

BVALIDS Indicates whether the write response is available. read-only<br />

BREADYS Indicates whether the master is ready <strong>to</strong> accept the response<br />

information.<br />

read-only<br />

AWUSERS<br />

Additional master interface signals for the write address channel.<br />

read-only<br />

WUSERS Additional master interface signals for the write data channel. read-only<br />

BUSERS Additional master interface signals for the write response channel.<br />

read-only<br />

ARUSERS<br />

Additional master interface signals for the read address channel.<br />

read-only<br />

RUSERS Additional master interface signals for the read data channel. read-only<br />

1.4.1.2 <strong>AXI</strong> Master Port Signals Registers<br />

Table 1-5 shows the <strong>AXI</strong> master port signals. See the ARM AMBA <strong>AXI</strong> Pro<strong>to</strong>col Specification<br />

for more information about these signals.<br />

Table 1-5 <strong>AXI</strong> Master Port Signal Registers<br />

Name Description Type<br />

ARIDM The read address ID. read-only<br />

ARADDRM The read address. read-only<br />

ARVALIDM Indicates whether the read address is available. read-only<br />

ARREADYM Indicates whether the slave is ready <strong>to</strong> accept the read address. read-only<br />

ARLENM The burst length. read-only<br />

ARSIZEM The burst size. read-only<br />

ARBURSTM The burst type. read-only<br />

ARLOCKM The lock type. read-only<br />

ARCACHEM The cache type. read-only<br />

ARPROTM The protection type. read-only<br />

AWIDM The write address ID. read-only<br />

AWADDRM The write address. read-only<br />

<strong>Carbon</strong> <strong>Design</strong> Systems, Inc. Confidential


Debug Features 1-9<br />

Table 1-5 <strong>AXI</strong> Master Port Signal Registers (Continued)<br />

Name Description Type<br />

AWVALIDM Indicates whether the write address is available. read-only<br />

AWREADYM Indicates whether the slave is ready <strong>to</strong> accept the write address. read-only<br />

AWLENM The burst length. read-only<br />

AWSIZEM The burst size. read-only<br />

AWBURSTM The burst type. read-only<br />

AWLOCKM The lock type. read-only<br />

AWCACHEM The cache type. read-only<br />

AWPROTM The protection type. read-only<br />

WIDM The write ID tag. read-only<br />

WDATAM The write data. read-only<br />

WSTRBM The write strobes. read-only<br />

WLASTM The last transfer in a write burst. read-only<br />

WVALIDM Indicates whether the write data and strobes are available. read-only<br />

WREADYM Indicates whether the slave is ready <strong>to</strong> accept the write data. read-only<br />

RIDM The read ID tag. read-only<br />

RDATAM The read data. read-only<br />

RLASTM The last transfer in a read burst. read-only<br />

RVALIDM Indicates whether the read data is available. read-only<br />

RREADYM Indicates whether the master is ready <strong>to</strong> accept the read data<br />

and response information.<br />

read-only<br />

RRESPM The read response. read-only<br />

BIDM The response ID. read-only<br />

BRESPM The write response. read-only<br />

BVALIDM Indicates whether the write response is available. read-only<br />

BREADYM Indicates whether the master is ready <strong>to</strong> accept the response<br />

information.<br />

read-only<br />

AWUSERM<br />

Additional master interface signals for the write address channel.<br />

read-only<br />

WUSERM Additional master interface signals for the write data channel. read-only<br />

BUSERM Additional master interface signals for the write response channel.<br />

read-only<br />

ARUSERM<br />

Additional master interface signals for the read address channel.<br />

read-only<br />

RUSERM Additional master interface signals for the read data channel. read-only<br />

<strong>Carbon</strong> <strong>Design</strong> Systems, Inc. Confidential


1-10 Using the <strong>Model</strong> in SoC <strong>Design</strong>er Plus<br />

1.5 Available Profiling Data<br />

This model does not provide profiling streams, and hence, does not provide profiling<br />

information. Transaction related information can be retrieved from the respective bus<br />

components.<br />

<strong>Carbon</strong> <strong>Design</strong> Systems, Inc. Confidential

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!