12.07.2015 Views

Annual report 2008 - Europractice-IC

Annual report 2008 - Europractice-IC

Annual report 2008 - Europractice-IC

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Low cost <strong>IC</strong> prototypingThe The cost cost of of producing producing a new new AS<strong>IC</strong>for for a a dedicated dedicated application application within within asmall a small market market can can be high, be high, if directly if directlyproduced by a commercial by a commercial foundry.producedThis foundry. is largely This due is largely to the due NRE to (Non- theRecurring NRE (Non-Recurring Engineering) Engineering) overheadsassociated overheads with associated design, with manufacturingmanufacturing and test. anddesign,test.EUROPRACT<strong>IC</strong>E EUROPRACT<strong>IC</strong>E has has reduced reduced the theNRE, NRE, especially especially for for AS<strong>IC</strong> AS<strong>IC</strong> prototyping,by by two two techniques: techniques:ing,(i) (i) Multi Multi Project Project Wafer Wafer Runs Runs or or(ii) (ii) Multi Multi Level Level Masks. Masks.Multi Multi Project Project Wafer Wafer Runs RunsBy By combining combining several several designs designs fromdifferent different customers customers onto onto one one maskset set and and prototype prototype run, run, known known as asMulti Multi Project Project Wafer Wafer (MPW) (MPW) runs,the the high high NRE NRE costs costs of of a mask mask set setis shared among the participatingcustomers.is Fabrication shared among of prototypes the participating can thuscustomers. be as low as 5% to 10% of theFabrication cost of a of full prototypes prototyping can wafer thusbe run. as Alow limited as 5% number to 10% of of tested the cost orof untested a full prototyping AS<strong>IC</strong> prototypes, wafer run. typically A limited20-50, number are delivered of tested to or the untested customerprototypes, for evaluation, typically either 20-50, are asAS<strong>IC</strong>delivered naked dies to or the as customer encapsulated for evaluationvices.either Only as prototypes naked dies from or as fully en-decapsulatedqualified wafers devices. are Only taken prototypesensurefully that qualified the chips wafers delivered are taken willfromto function ensure “right that first the time”. chips deliveredwill function “right first time”.In order to achieve this, extensiveIn Design order Rule to achieve and Electrical this, extensive RuleDesign Checkings Rule are and performed Electrical on Rule allCheckings designs submitted are performed to the on Service. all designsEUROPRACT<strong>IC</strong>E submitted is to organising the Service. aboutEUROPRACT<strong>IC</strong>E 130 MPW runs is per organising year in various about200 technologies. MPW runs per year in varioustechnologies.Multi Level MaskSingle User RunsAnother technique to reduce thehigh mask costs is called MultiLevel Mask (MLM). Withthis Multi technique Level Mask the available maskarea Single (20 User mm x Runs 20 mm field) is typicallyAnother divided technique in four to quadrants reduce the(4L/R: high mask four layer costs per is called reticle) Multi wherebelMask each quadrant (MLM). With is filled this with technique oneLev-design the available layer. As mask an example area (20: mm one xmask 20 mm can field) contain is typically four layers divided suchinas four nwell, quadrants poly, (4L/R ndiff : four and layer active. perThe reticle) total whereby number of each masks quadrant is thusisreduced filled with by one a factor design of layer. four. As Byanadapting example : the one lithographical mask can contain procedurelayers it such is possible as nwell, poly, to use ndiff one andfourmask active. four The times total number for the of different masks islayers thus reduced by using by a the factor appropriate of four. Byquadrants. adapting the Using lithographical this technique proceduremask it is costs possible can to be use reduced one mask bytheabout four times 60%. for the different layers byusing the appropriate quadrants.The Using advantages this technique of using the mask MLM singlecan user be reduced runs are by : about (i) lower 60%. maskcostscosts, (ii) can be started any dateand The advantages not restricted of using to scheduled MLM singleMPW user runs runs, are (iii): single (i) lower user mask and costs, (iv)customer (ii) can be receives started any minimal date and a fewnotwafers, restricted so to a few scheduled hundreds MPW of prototypes.(iii) single user and (iv) customerruns,receives minimal a few wafers, so aThis few hundreds technique of is prototypes. preferred overMPW runs when the chip area becomesThis technique large and is when preferred the customerMPW runs wants when to get the a higher chip area num-be-overber comes of large prototypes and when or the preserie. customerWhen wants the to prototypes get a higher are number success-oful,this mask or preserie. set can be When used theprototypesprototypes under certain are successful, conditions this for mask lowset can volume be used production. under certain conditionsfor low volume production.This technique is onlyThis technique available is for only technologies available fortechnologiesfrom AMIfromSemiconductorAMI Semiconductorand IHP.(now On Semiconductor)and IHP.By courtesy of IMEC8 europractice | a total solution

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!