29.04.2015 Views

1 - Al Kossow's Bitsavers

1 - Al Kossow's Bitsavers

1 - Al Kossow's Bitsavers

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

EPSON pe AX<br />

TECHNICAL MANUAL<br />

Seiko Epson Corporation<br />

Nagano,Japan<br />

Y12699900201


Fce eOMPLIANCE STATEMENT<br />

This equlpment uses and generates radio trequency energy and It not installed and used properly.<br />

that Is In strlct accordance wlth the manutacture's Instructlons, may cause Interference to radio<br />

and television receptlon.<br />

It has been type tested and tound to comply wlth limits tor a Class B computlng devlce In<br />

accordance wlth Sub- part J of Part 15 of FCC Rules, whlch are designed to provlde reasonable<br />

protectlon agalnst such Interference in aresldential installation. However, there Is no guarantee<br />

that Interference will not occur In a partlcular Installation. It thls equlpment dose causa<br />

Interference to radio or television receptlon, whIch can be determlned by turnlng the equlpment<br />

on and off, the user Is encouraged to try to correct the interference by one or more of the<br />

tollowing measures:<br />

reorlent the receivlng antenna<br />

relocate the computer wlth respect to the receiver<br />

move .the computer away tram the receiver<br />

plug the computer into a different outtet so that the computer and receiver are on<br />

different branch clrcults<br />

It necessary, the user should consult the dealer or an experienced radio/television technician tor<br />

additional suggestions. The user may tind the tollowing booklet, prepared by the Federal<br />

Communicatlons Commlssion, helptul:<br />

"How to Identity and Resoive Radio - TV interference Problems. ''This booklet Is avaiiable trom<br />

the U.S. Government Printlng Office. Washington. D.C., 20402, Stock No. 044 - 000 - 00345 - 4.<br />

You can determine whether your computer Is causing interference by turning It off. It the<br />

Interference stops, it was probably caused by the computer or Its perlpheral devlces. To further<br />

lsolate the problem. disconnect elther the perlpheral device or its 1/0 cable.<br />

These devices usually require shielded cable. For Epson peripheral devices, you can obtaln the<br />

proper shlelded cable trom your dealer. For non - Epson devlces. contact the manufacturer or<br />

dealer tor asslstance.<br />

Seiko Epson Corporatlon,<br />

Nagano, Japan<br />

© 1988 by Seiko Epson Corporation<br />

<strong>Al</strong>l r1ghts reserved.<br />

Prlnted In Japan<br />

No portion of thls document may be reproduced, stored In a retrleval system, or transmitted In<br />

any torm or by any means, electrlc. mechanlcal, photocopylng. recordlng, or otherwlse, wlthout<br />

the written permission of Selko Epson Corporatlon. No patent liablllty Is assumed wlth respect<br />

to use of the Information contalned herein, nor Is any liablllty assumed tor damages resultlng<br />

trom usa cf thls text. Whlle every precautlon has been taken In the preparatlon cf thls bock,<br />

the publlsher assumes no lIablllty tor errors or omisslons.<br />

EPSON ® is a reglstered trademark of Seiko Epson Corporatlon.<br />

EPSON PC AX Is trademark of Selko Epson Corporatlon.<br />

IBM ® is a reglstered trademark ot International Business Machlnes Corporation.


PRECAUTIONS<br />

Precautlonary notations throughout the text are categorlzed relative to 1) personalinjury and 2)<br />

darnage to equlpment.<br />

DANGER<br />

WARNING<br />

Signals a precautlon whlch, If ignored, could result In serlous or fatal<br />

personalinjury. Great caution should be exercised in performlng procedures<br />

preceded by DANGER Headlngs.<br />

Signals a precaution whlch, If ignored, could result In damage to equlpment.<br />

The precautlonary measures Itemlzed below should always be observed when performlng repalr/<br />

rnalntenance procedures.<br />

DANGER<br />

1. ALWAYS DISCONNECT THE PRODUCT FROM BOTH THE POWER<br />

SOURCE AND PERIPHERAL DEVICES PERFORMING ANY<br />

MAINTENANCE OR REPAIR PROCEDURE.<br />

2. NO WORD SHOULD BE PERFORMED ON THE UNIT BY PERSONS<br />

UNFAMILIAR WITH BASIC SAFETY MEASURES AS DICTATED<br />

FOR ALL ELECTRONICS TECHNICIANS IN THEIR L1NE OF WORK.<br />

3. WHEN PERFORMING TESTING AS DICTATED WITHIN THIS<br />

MANUAL, 00 NOT CONNECT THE UNIT TO APOWER SOURCE<br />

UNTIL INSTRUCTED TO 00 SO. WHEN THE POWER SUPPLY<br />

CABLE MUST BE CONNECTED, USE EXTREME CAUTION IN<br />

WORKING ON POWER SUPPLY AND OTHER ELECTRONIC COM­<br />

PONENTS.<br />

WARNING<br />

1. Repalrs on Epson product should be performed only by an Epsan<br />

certlfled repair techniclan.<br />

2. Make certeln that the source voltage is the same as the rated<br />

voltage, Iisted on the serial number/rating plate. If the Epson<br />

product has a primary AC rating different from avallable power<br />

saurce, do not connect It to the power source.<br />

3. <strong>Al</strong>ways verlfy that the Epson product has been disconnected from<br />

the power source before removlng or replaclng prlnted circult<br />

boards and/or Individual chips.<br />

4. In order to protect sensitive microprocessors and clrcultry, use<br />

static discharge equlpment, such as antl- static wrist straps, when<br />

accesslng Internal components.<br />

5. Replace rnalfunctlonlng components only wlth those components by<br />

the manufacture; introductlon of second - source ICs or other nonapproved<br />

components may damage the product and vold any<br />

applicable Epson warranty.


REVISION ISSUE DATE REVISION PAGE<br />

REV.A December 1986 -<br />

REV.B September 1988 1-7, 2-2, 2-6, 2-7, 2-10,<br />

2-15, 2-25, 2-33, 2-36,<br />

2-39, 2-40, 2-42, 2-51,<br />

2-73<br />

Chapter 8 added


PREFACE<br />

Thls manual descrlbes the theory of operation of the EPSON PC AX microcomputer system, and<br />

Includes troubleshootlng, repair, and malntenance procedures for servlng system subassemblles.<br />

Thls text Is dlvlded into eight chapters:<br />

CHAPTER 1.<br />

CHAPTER 2.<br />

CHAPTER 3.<br />

PRODUCT DESCRIPTION ... Descrlbesthe features and speclflcatlons of<br />

the computer, lIIustrates system components. and IIsts the loglc conflguratlon<br />

of the prlmary clrcult board.<br />

PRINCIPLES OF OPERATION. " Details the functional organizatlon cf the<br />

loglc clrcultry. Thls chapter also Illustrates the gate array pln<br />

configuratlons.<br />

OPTIONS . " Descrlbes option card speciflcatlons and the operating prlnclpies<br />

of the options.<br />

CHAPTER 4.<br />

ProvIdes Instructions for isolating computer mal­<br />

TROUBLESHOOTING . "<br />

functlons.<br />

CHAPTER 5.<br />

CHAPTER 6.<br />

CHAPTER 7.<br />

CHAPTER 8.<br />

DISASSEMBLY AND ASSEMBLY . .. Descrlbes system dlsassembly for<br />

replacement of malfunctlonlng subassemblles.<br />

ADJUSTMENT AND MAINTENANCE ... Usts the necessary adjustments for<br />

unlt assembly and servlclng.<br />

DIAGRAMS AND REFERENCE MATERIALS ... Descrlbes jumper settings<br />

and connector pln asslgnments. Thls chapter also provldes expIoded clrcult<br />

board layout and schematlc dIagrams for use In conjunctlon wIth the text.<br />

DIFFERENCES BETWEEN 1OMHz AND 12 MHz ... Descrlbes between the<br />

EPSON PC AX 10MHz and the 12MHz, and includes the schematics for use In<br />

conJunctlon with the the 12MHz.<br />

SUbsequent product modlflcatlons will be brought to your attention via Service Bulletins;<br />

the text as bulletins are recelved.<br />

please revlse<br />

Thls document Is subJect to change without notice.


TABLE OF CONTENTS<br />

CHAPTER 1. PRODUCT DESCRIPTION. . . . . . . . . . . . . . . 1- 1<br />

CHAPTER 2. PRINCIPLES OF OPERATION 2-1<br />

CHAPTER 3. OPTIONS........................ 3 - 1<br />

CHAPTER 4. TROUBLESHOOTING................. 4 - 1<br />

CHAPTER 5. DISSEMBLY AND ASSEMBLY 5-1<br />

CHAPTER 6. ADJUSTMENT AND MAINTENACE . . . . . . . . . 6 - 1<br />

CHAPTER 7. DIAGRAMS AND REFERENCE MATERIALS .... 7 - 1<br />

CHAPTER 8. DIFFERENCES BETWEEN 10MHz AND 12MHz.. 8-1


CHAPTER<br />

1<br />

PRODOCT DESCRIPTION<br />

TAßLE OF CONTENTS<br />

Section<br />

Title<br />

Page<br />

1.1<br />

1.2<br />

1. 2.1<br />

1.2.2<br />

1.2.3<br />

1.2.4<br />

1.2.5<br />

1.2.6<br />

1.3<br />

1.3.1<br />

1.3.2<br />

FEATU RES ......••.......••........••.........•............ 1-1<br />

SPEC I FICATIONS ..........................•.•.............. 1-3<br />

t1ain System Unit Specifications .••......•...•......•... 1-4<br />

Keyboard Specifications •..........••••.•.....•......... 1-4<br />

FD1155C Floppy Disk Drive Specifications .... .... .••.... 1-4<br />

t1D5501-61 Floppy Disk Drive Specifications ....•.•...... 1-5<br />

D5146H Hard Disk Drive Specifications ..•........ .••. ... 1-6<br />

Hr,1D-720 Hard Disk Drive Specifications .•.•.•.... ..•.... 1-7<br />

HARDWARE CONFIGURATION ............•...................... 1-8<br />

Main System Unit Components ..........•...•.••.......... 1-8<br />

Keyboard Components ............••..................••.. 1-12<br />

LIST OF FIGURES<br />

Fi gure<br />

1-1-1<br />

1-3-1<br />

1-3-2<br />

1-3-3<br />

1-3-4<br />

1-3-5<br />

1-3-6<br />

1-3-7<br />

Title<br />

Major Components<br />

ANTA Boa rd ..........•..•........•.••........•.•..........<br />

ANT- Rtl Boa rd ......••••...........••.......•.•.•..........<br />

SPFG Board ......•.•......•••••.•.......•.••.......•••..••<br />

ATRPS Unit ..............................•.••...........••<br />

WHDC Boa rd •...••.•.........••..•.•.•..•.....•..•.••.•.•.•<br />

Floppy Disk Drive Component ..••.•.......•.•...........••.<br />

Keyboard Component ........•.........•••...........•......<br />

Page<br />

1-1<br />

1-8<br />

1-9<br />

1-10<br />

1-11<br />

1-11<br />

1-12<br />

1-12<br />

Table<br />

Title<br />

LIST OF TAßLES<br />

Page<br />

1-3-1<br />

1-3-2<br />

1-3-3<br />

1-3-4<br />

ANTA Board Component Descripti on .......•••.........••.•.. 1-8<br />

ANT- Rt,1 Boa rd Compon en t Descri pt ion ......•.••............. 1-9<br />

SPFG Board Component Description •.••.•.....•.••.......... 1-10<br />

ATRPS Un i t Outputs .........•..............•........•..••. 1-11


REV .A<br />

PROnUCT DESCRIPTIOR<br />

1 .1 FEATURES<br />

The EQU1TY IlI+ / EPSON pe P\f.. computer system inc1udes two major elements; a<br />

main system unit and a keyboard.<br />

FIGURE 1-1-1. MAJOR. COMPORKRTS<br />

The EQU1TY II1+ / EPSON PC P\f.. hardware configuration has Cl high degree of<br />

compatibility with the IBM AT and the new AT.<br />

System Performance<br />

EQU1TY 111+ / EP SON pe P\f.. has three CPU execution speeds, these are the IBN AT<br />

speed (6 MHz), the new AT speed (8 MHz) and a fast er speed (10 l'fiIz). Speed is<br />

selected by setting a three-position switch easily accessed from the front.<br />

The user can see the selected execution speed by the color of a 1ED.<br />

Wait Cycles<br />

EQUITY IlI+ / EPSON PC P\f.. uses several types of internal devices, such as RAM,<br />

ROM, 16-bit extension memory, 16-bit 1/0 device, 8-bit extensi on memory anel<br />

r,-bit 1/0 device at every CPU speed. In the case of 6 MHz or 8 MHz, the<br />

number of wait cycles is the same as the AT or the new AT.<br />

1-1


PRODUCT DESCRIPTION<br />

REV.A<br />

WX Clock<br />

The clock input to the numerical processor extension (NPX) may be changed<br />

according to the NPX version. The standard clock input is 8 MHz (AT uses 4<br />

MHz and the new AT uses 5.33 MHz). Other clock-inputs, 20, 16, or 12 MHz<br />

(divided by three in the NPX) may be selected by sett ing jumper connectors on<br />

the system board.<br />

Mass Memory<br />

EQU11Y 111+ / EPSON PC AX supports 4 types of built-in mass memory.<br />

Hass Memory Slots<br />

EQUITY 111+ / EPSON PC AX has 5 half-height slots for FDD's and IIDD's. There<br />

are several ways to install the drives in these slots: (1) 4 half-height<br />

drives, (2) 3 half-height drives and a full-height drive, (3) a half-height<br />

drive and 2 full-height drives. A maximum of four drives can be installed.<br />

Power Supply<br />

EQUITY 11I+ / EPSON PC AX has an IBM AT compatible power unit. Hs<br />

specification is world wide, using 115 V /220 V, switch selected, UL/CSA and<br />

TUV standard, and FCC/FTZ standard. The number of power cables is 4.<br />

Multi-function Card<br />

The multi-function carc in the EPSON proprietary slot provides the serial<br />

communication port, parallel printer port and floppy disk controller.<br />

Hard Disk Controller<br />

The hard disk controller contained in the prorietary slot will control two<br />

hard disks.<br />

Packaging<br />

<strong>Al</strong>l switches and controls are easily accessible. Switches control the<br />

processor execution speed, and the type of the display, color or monochrome.<br />

1t is easy to connect the keyboard, control the volume, push the reset button,<br />

turn the power on or off, and lock or unlock the main unit. When the unit i8<br />

locked, the system does not accept key input and ignores the reset button.<br />

Exterior<br />

The unit is designed in line with the EQU1TY / EPSON PC series, which visually<br />

distinguishes it from the numerous compatibles.<br />

1-2


REV.A<br />

PRODUCT DESCRIPTION<br />

1.2 SPECIFICATION<br />

The following sections describe detail specifications by major subassembly.<br />

POWER SUPPLY<br />

Input<br />

115 VAC<br />

230 VAC<br />

F requency .<br />

Power Consumption .....••••.<br />

Surge Current •......•.•....<br />

Min. 92 V<br />

Max. 132 V<br />

Min. 196 V<br />

Max. 265 V<br />

49 - 61Hz<br />

115 VAC:<br />

42 A O-P<br />

Hax.<br />

Hax.<br />

4 A<br />

2.5 A<br />

230 VAC approx. 60W<br />

(0.5 sec. more)<br />

INSOLATION STRKRG'l'H 115 VAC: AC 1.25 kV (l min.) (AC-FG, AC-<br />

Secondary)<br />

230 VAC: AC 1.25 kV (1 min.) (AC-FG)<br />

AC 3.75 kV (1 min.) (AC-Secondary)<br />

INSOLATION RESISTANCE<br />

25 MOhm more (500 VDC) (AC-FG,AC-Secondary)<br />

1 MOhm more (250 VDC) (SG-FG) (DIC short<br />

jumper not installed)<br />

ElNIRONMENT CONDITIONS<br />

OPERATING<br />

Temperature 5~ to 35~<br />

Humidity 20% to 80%<br />

(non-condensing)<br />

Maximum wet bulb 29 deg.<br />

NON-OPERATING<br />

- 20° 'C to 60°C<br />

10% to 90%<br />

40 deg.<br />

STORAGE<br />

5% to 95%<br />

45 deg.<br />

Vibration<br />

Shock (non-HDD)<br />

Shock (HDD)<br />

<strong>Al</strong>titude (HDD)<br />

0.2 G<br />

1 G (less than<br />

10ms)<br />

1 G (less than<br />

25mm 0-60Hz)<br />

o to 3000 m. ASL<br />

1 G (non-HDD)<br />

0.5 G (HDD)<br />

3 G (less than<br />

10ms)<br />

3 G (less than<br />

25mm 0-60Hz)<br />

-300 to 3600 m. ASL<br />

3 G (non-HDD)<br />

0.5 G (FIDD)<br />

30 G (less than<br />

(lOms)<br />

20 G (less than<br />

25mm 0-60Hz)<br />

-300 to 3600 m.<br />

ASL<br />

1-3


PRODUCT DESCRlP TION<br />

REV.A<br />

1.2.1 Main System Unit Specifications<br />

PROCESSOR ••.•.•..•..•.••• 80286-10<br />

CO-PROCESSOR •••••.••.••.. 80287-8<br />

ROM •..•.•••.••••....•.••• 64KB (27256 x 2)<br />

RAM ••..••......•.•..•.•.. 640KB is standard<br />

MASS MEMORy 5 1/4" 1.2MB FDD is standard<br />

MASS MEMORY SLOTS ••••.•.• 6 16-bit 1/0 expansion slots<br />

3 8-bit 1/0 expansion slots<br />

CPU CLüCK ••••.••..•.•...• 6 }lliz, 8 }lliz, 10 }lliz<br />

(Switch selectable)<br />

H<strong>Al</strong>T CYCLES ...•.•••...... Selectable<br />

DIMENSIONS (W x D x R) ••. 498.5 x 442 x 169 mm<br />

WEICHT ••.•.•..•.••.•..••. 14.5 Kg (Standard model - 1FDD)<br />

1.2.2 Keyboard Specifications<br />

LAYOUT ..••••••••....•..•• New AT compatible<br />

CORD ..•••.••.••.••.•.•..• App rox. 2 meters<br />

DIMENSIONS (W x D x H) .... 490 x 197.5 x 47.7 mm<br />

lmICHT .•••.••.••.••..•... 1.8 Kg<br />

1.2.3 FD1155C Floppy Disk Drive Specification<br />

High Density Mode<br />

Capacity (KBytes)<br />

Unformatted<br />

Formatted<br />

Transfer Rate (K-bits/sec)<br />

Number of Tracks<br />

Recording Density (BPI max.)<br />

(MFM / FM)<br />

1670 / 830<br />

1065 / 532 (256 byte x 26 sector)<br />

1229 / 614 (512 byte x 15 sector)<br />

1311 / 655 (1024 byte x 8 sector)<br />

500 / 250<br />

160 (80 tracks x 2 sides)<br />

9870<br />

1-4


REV.A<br />

PRODUCT DESCRIPTIOH<br />

Normal Density Mode<br />

Capacity (KBytes) (MFM / FM)<br />

Unformatted 1000 / 500<br />

Formatted<br />

655 / 328 (256 byte x 16 sector)<br />

Transfer Rate 300 / 150<br />

Number of Tracks<br />

160 (80 tracks x 2 sides)<br />

Recording Density (HPI max. ) 5922<br />

Seek Speed (1 track)<br />

Seek Settling Time<br />

Head Loading Time<br />

Disk Speed<br />

Track Density<br />

Recording Method<br />

Power Consumption x 2<br />

3 ms<br />

15 ms<br />

35 ms<br />

360 RPM<br />

96 TPI<br />

HFtl/FM<br />

4.8 w<br />

1.2.4 MD5501-61 Floppy Disk Drive Specification<br />

Normal Density Mode<br />

High Density Mode<br />

Capacity (KBytes)<br />

Unformatted<br />

Formatted (IBM<br />

format)<br />

Lf8 TP I<br />

500<br />

327.7<br />

(8 sector)<br />

96 TPI<br />

1000<br />

655.4<br />

(16 sector)<br />

96 TPI<br />

1667<br />

1065<br />

(26 sector)<br />

Transfer Rate (Kbits/sec.) 300<br />

Access Time (track-track)(ms) 10<br />

Recording Density (BPI) 5876<br />

Number of Tracks (p.er side) 40<br />

300<br />

5<br />

5922<br />

80<br />

500<br />

3<br />

9870<br />

80<br />

Seek Settling Time<br />

Disk Speed<br />

Recording Mode<br />

Power Consumption<br />

15 ms<br />

360 RPH<br />

HFN<br />

3.0 \v<br />

1-5


PRODUCT DFßCRIPTION<br />

REV.A<br />

1.2.5 D5146H Hard Disk Drive Specification<br />

Capacity<br />

Unformatted<br />

per Cylinder<br />

per Track<br />

Formatted<br />

per Cylinder<br />

per Track<br />

per Sector<br />

Number of Cylinders<br />

Sectors per Track<br />

Bytes per Sector<br />

Number of Disks<br />

Number of Heads<br />

51.24<br />

83,328<br />

10,416<br />

40.30<br />

65,536<br />

8,192<br />

256<br />

615<br />

32<br />

256<br />

lf<br />

8<br />

MBytes<br />

bytes<br />

bytes<br />

MBytes<br />

bytes<br />

bytes<br />

bytes<br />

Transfer Rate<br />

Access Time<br />

Track-Track<br />

Average Seek<br />

Max. Seek<br />

Disk Speed<br />

Start Time<br />

Stop Time<br />

Recording Methoc1<br />

Recording Density<br />

Track Density<br />

625 KB/sec<br />

8 ms<br />

40 ms<br />

75 ms<br />

3600 RPH<br />

25 sec.<br />

30 sec.<br />

MFH<br />

9000 BPI<br />

700 '!PI<br />

Environment Conditions<br />

Operatin$<br />

Temperature 5~ - 4)C<br />

Humidity 8% - 80%<br />

Vibration<br />

Shock<br />

<strong>Al</strong>titude<br />

( 29°c)<br />

0.2 G<br />

2.0 G<br />

o - 3000 m<br />

Storage<br />

-4dt - 6&<br />

0.5 G (0-60Hz, less than 25 nun)<br />

20.0 G<br />

-300 - 3600 m<br />

Power Consumption<br />

3.0 w<br />

1-6


REV.B<br />

PRODueT DESCRIPTION<br />

1.2.6 BMD-720 Hard Disk Drive Specification<br />

Capacity<br />

Unformatted<br />

per Track<br />

Formatted<br />

per Track<br />

per Sector<br />

Number of Cylinders<br />

Number of Tracks<br />

Sectors per Track<br />

Bytes per Sector<br />

Number of Disks<br />

Number of Heads<br />

25.5<br />

10,416<br />

20.0<br />

8,192<br />

256<br />

615<br />

2460<br />

32<br />

256<br />

2<br />

4<br />

MBytes<br />

bytes<br />

MBytes<br />

bytes<br />

bytes<br />

Transfer Rate<br />

Access Time<br />

Track-Track<br />

Average Seek<br />

Max. Seek<br />

Disk Speed<br />

Recording Method<br />

Recording Density<br />

Track Density<br />

Interface<br />

5 Mbits/sec<br />

18 ms<br />

69 ms<br />

150 ms<br />

3528 RPH +/-1%<br />

MFM<br />

12900 BPI<br />

910 '!PI<br />

ST-506/412<br />

Environment<br />

Temperature<br />

Humidity<br />

<strong>Al</strong>titude<br />

Conditions<br />

Operatin&<br />

5°C - 50C<br />

8% - 80%<br />

(26C)<br />

3000 m<br />

Storage<br />

-40°C - 6.fC<br />

8% - 85%<br />

( 30C)<br />

10000 m<br />

Shock-Vibration<br />

No Soft Errors<br />

Vibration<br />

Shock<br />

No Hard Errors<br />

Vibration<br />

Shock<br />

PmJer Consumption<br />

0.4 G, 36-500 Hz<br />

8 G, 10 os<br />

2 G, 14-500 Hz<br />

20 G, 10 ms<br />

8.0 w<br />

3 G, 12-500 Hz<br />

40 G, 10 ms<br />

1-7


PRODUCT DESCRlPTION<br />

HEV.A<br />

1.3 HARDWARE CONFIGURATION<br />

1.3.1 Hain System Unit Components<br />

TABLE 1-3-1. ANTA BOARD COMPONENT DESCRlPTION<br />

NAHE MODEL Qty<br />

CPU 80286-10 1<br />

NPX 80287-8 1<br />

DMAC 8237A-5 2<br />

INTC 8259A 2<br />

TIMER 8254-2 1<br />

REAL TIME 146818 1<br />

KEYBOARD I/F 8042 1<br />

GAATAB E01085CB 1<br />

GAATCB E01086CA 1<br />

GAA'IDB<br />

GAATCK<br />

GAATRF<br />

GAATIO<br />

E01068CA<br />

E01068CA<br />

E01069BB<br />

E01092EA<br />

1<br />

1<br />

1<br />

1<br />

FUNCTION<br />

16 bit CPU<br />

Co-processor (socket only)<br />

DMA control<br />

Interrupt control<br />

Support 3 clock channels<br />

System clock t calender and CUOS RAM<br />

Interface between 80286 and keyboard<br />

Controls CPU address bus (A16-0) t system<br />

addtess bus (5A16-0) and internal address<br />

bus (XA16-0). Generates refresh address.<br />

Control bus (1/0 write pulset 1/0 read<br />

pu lse t memory write pulse, memory read<br />

pulse) and 7 MSB of address bus (A23-17) and<br />

bus high enable signal.<br />

Control CPU bus (D15-0), system data bus<br />

(SD1S-0) and memory data bus (}ID15-0)<br />

Clock generator, bus controller and shut<br />

dOvrn circuit.<br />

Controls D-RAM refresh, DHA transfer t 16-B<br />

data bit conversioD t and wait state<br />

insertion.<br />

Address decoder for 1/0 space and r/o<br />

registers.<br />

FIGURE 1-3-1. ANTA BOARD<br />

1-8


HEV.A<br />

PRODUCT DESCRIPTION<br />

TABLE 1-3-2. ABT-RH BOARD COMPONENT DESCRIPTION<br />

NAME<br />

MODEL<br />

Qty<br />

FUNCTION<br />

ROH<br />

GAATH1<br />

GAATM2<br />

MB81256-10Z<br />

MB81464-12P<br />

uPD4164-12<br />

27256<br />

EOI090BA<br />

E01091EA<br />

18<br />

4<br />

2<br />

2<br />

1<br />

1<br />

256Kbit dynamic RAM (Parity check RAH)<br />

64KB x 4 dynamic RAM<br />

Parity check RAM<br />

BIaS ROM<br />

Address decoder for memory space and parity<br />

checker / generator for system D-RA}I.<br />

Generates D-RAM address (MA8-0) and D-RAM<br />

access signals (RAS, CAS and WE)<br />

FIGURE 1-3-2. ABT-RH BOARD<br />

1-9


PRODUCT DESCRIPTION<br />

HEV.A<br />

TABU 1-3-3. SPFG BOARD COMPONENT DESCRIPTION<br />

MODEL<br />

Q'ty<br />

FUNcnON<br />

FDC<br />

GAATSP<br />

GAATFD<br />

SERIAL<br />

CONTROLLER<br />

uPD765A<br />

E01093BA<br />

E01094BA<br />

16450<br />

1<br />

1<br />

Q<br />

1<br />

Controls FDD's.<br />

Parallel port and address decoder for serial<br />

port.<br />

Controls 360KB and 1.2MB diskette drives.<br />

Includes FDOR (Floppy digital output<br />

register), FCR (Floppy control register) and<br />

write precornpensation circuit.<br />

Controls serial data transfer.<br />

FIGURE 1-3-3. SPFG BOARD<br />

1-10


REV.A<br />

PRODUCT DESCRIPTION<br />

TABLE 1-3-4. ATRPS UNIT OU1PUTS<br />

WMINAL LOAD CURREBT LOAD CURREBT REGULATION OVERLOAD<br />

OU1PUT [VDC] MIN. [A] HAX. [A] TOLERANCE PROTECTION [A]<br />

+5 2.5 20 +- 4% 35<br />

-5 0 0.3 * +-10% 3<br />

+12 0 4.8 (7.0) +- 5% 16<br />

-12 0 0.3 +-10% 3<br />

* 10 sec.<br />

FIGURE 1-3-4.<br />

ATRP S UNIT<br />

FIGURE 1-3-5. WHDC<br />

BOARD<br />

1-11


PRODUCT DESCRIPTION<br />

REV.A<br />

FIGURE 1-3-6.<br />

FLOPPY DISK DRIVE COMPONENTS<br />

Refer to Chapter 3 for the Floppy disk drive components.<br />

1.3.2 Keyboard Components<br />

The new IBM AT compatible keyboard (with 'horne' posHion keys F, J and 5<br />

marked) •<br />

FIGURE 1-3-7. KEYßOARD COMPONENT<br />

1-12


CHAPTER<br />

2<br />

PRINCIPLES OF OPERATION<br />

TABLE OF CONTENTS<br />

Section<br />

2.1<br />

2.2<br />

2.2.1<br />

2.2.1.1<br />

2.2.1.2<br />

2.2.1.3<br />

2.2.2<br />

2.2.2.1<br />

2.2.2.2<br />

2.2.2.3<br />

2.2.2.4<br />

2.2.2.5<br />

2.3<br />

2.3.1<br />

2.3.1.1<br />

2.3.1.2<br />

2.3.1.3<br />

2.3.1.4<br />

2.3.2<br />

2.3.2.1<br />

2.3.3<br />

2.3.3.1<br />

2.3.3.2<br />

2.3.3.3<br />

2.3.3.4<br />

2.3.4<br />

2.3.4.1<br />

2.3.4.2<br />

2.3.5<br />

2.3.6<br />

2.3.6.1<br />

2.3.6.2<br />

2.3.6.3<br />

2.3.6.4<br />

2.3.7<br />

2.3.7.1<br />

2.3.7.2<br />

2.3.8<br />

Title<br />

MAIN SYSTEM UNIT COMPONENTS<br />

ATRPS POWER SUPPLY UNIT .<br />

Primary Oscillation Circuit .<br />

Power Supply Circuit .<br />

Overcurrent Prevention Circuit of the MOS-FET<br />

On-Off Control Circuit .<br />

Inrush Current Prevention Circuit .<br />

Secon da ry Ci rcuit .<br />

-5V and -12V Circuit .<br />

+12V Supply Circuit .<br />

+5V Supply Circuit .<br />

Overheating Prevention Circuit .<br />

Power Good Signal Generation Circuit .<br />

ANTA MAIN CONTROL BOARD OPERATION .<br />

System Clock Generation Circuit .<br />

Select CPU Operation Speed .<br />

LED Indications .<br />

Select NPX (80287) Operation Speed .<br />

Ose; 11 ator .<br />

System Reset Signal Generation Circuit .<br />

System Reset Circuit .<br />

Internal Memory Control Circuit .<br />

RAM Chip Type ••.•...•...•..••...•.•.....••••..••..••..<br />

RAf\1 Chip Addresses ...•....•...••..••......••......••..<br />

Jumper Connector Function .<br />

Operation of ~~emory Control Circuit .•.................<br />

Byte/Word Access & 16-8 Bit Data Conversion .<br />

Data Bus Control Signal on GAATDB .<br />

Circuit Operation of Data Conversion .<br />

1/0 Device Access Circuit .<br />

OMA Contral Circuit .<br />

Page Register Setting Circuit .<br />

8-bit DNA {Internal memory -- 1/0) .<br />

8-bit DMA (Internal memory -- Internal memory) .<br />

16-bit DMA (Internal memory -- 1/0) .<br />

Ready Signal Control Circuit .<br />

Insertion flore Wait Cycle .<br />

Zero Wait Cycl e Request .<br />

Command Delay Signal Control Circuit .<br />

Page<br />

2-1<br />

2-2<br />

2-2<br />

2-2<br />

2-4<br />

2-5<br />

2-6<br />

2-6<br />

2-7<br />

2-10<br />

2-13<br />

2-13<br />

2-19<br />

2-21<br />

2-21<br />

2-21<br />

2-22<br />

2-23<br />

2-25<br />

2-26<br />

2-28<br />

2-28<br />

2-29<br />

2-29<br />

2-29<br />

2-32<br />

2-32<br />

2-33<br />

2-41<br />

2-42<br />

2-42<br />

2-43<br />

2-43<br />

2-43<br />

2-48<br />

2-49<br />

2-49<br />

2-51


2.3.9<br />

2.3.10<br />

2.3.10.1<br />

2.3.11<br />

2.3.12<br />

2.3.13<br />

2.3.14<br />

2.3.14.1<br />

2.3.14.2<br />

2.3.14.3<br />

2.3.14.4<br />

2.3.14.5<br />

2.3.14.6<br />

2.3. 15<br />

2.4<br />

2.4.1<br />

2.4.1.1<br />

2.4.1.2<br />

2.4.1.3<br />

2.4.2<br />

2.4.2.1<br />

2.4.2.2<br />

2.4.3<br />

2.4.3.1<br />

2.4.3.2<br />

2.4.3.3<br />

2.4.3.4<br />

2.4.3.5<br />

2.5<br />

2.5.1<br />

2.5.2<br />

2.5.2.1<br />

2.5.2.2<br />

2.5.3<br />

2.5.3.1<br />

2.5.3.2<br />

2.5.3.3<br />

2.5.3.4<br />

2.5.3.5<br />

2.5.4<br />

2.5.5<br />

2.5.6<br />

2.5.6.1<br />

2.5.6.2<br />

2.5.6.3<br />

2.5.6.4<br />

2.5.6.5<br />

2.5.6.6<br />

2.5.6.7<br />

2.5.7<br />

2.5.7.1<br />

2.5.7.2<br />

Interrupt Control Circuit .<br />

RO~~ Access Circuit .<br />

Available ROM Types .<br />

D- RÄf'1 Refre sh Ci rcu i t .<br />

RAM Parity Check Circuit .<br />

Speaker Control Circuit .<br />

Keyboard Interface Circuit &Other Circuit .<br />

Keyboard Interface .<br />

RAr-.1<br />

Si ze Rea d; n9 .•••••••••••••..•.•...•.••......•...••<br />

~1onitor Setting Reading .<br />

Disabling Keyboard Scan Codes .<br />

Software Reset Signal Generation .<br />

Address A20 Signal Control .<br />

1/0 Slot Access Signal .•...............................<br />

MULTI-FUNCTION ADAPTER (SPFG BOARD) OPERATION .<br />

Serial Interface .<br />

16540 Chip Select Circuit .<br />

Interface Signal .<br />

Data Buffer Direction Control Signal .<br />

Parallel Data Control Circuit .<br />

1/0 Address Selection .<br />

Parallel Data Control Circuit Functions .<br />

FDD Contral Circuit .<br />

FDD Control Register Access Circuit .<br />

Interrupt Signal and DMA Request Signal from FDC .<br />

FDD Con t ro1 Si gn als .<br />

Read/Wri te Ci rcu i t .<br />

Other Functions .<br />

KEYBOARD ••••••••••••••.••••••.•••••••••••••••••••••••••••<br />

Block Diagram .<br />

Interface Signal .<br />

AT t,1ode •......••••....••.........•.••.••.••...•.•••••.<br />

XT Mode .............................................•.<br />

Description of Interface Signals (AT Mode) .<br />

Clock .<br />

Data .<br />

Keyboa rd Data Output (AT t1ode) ........•...............<br />

Keyboa rd Data Input (AT t1ode) .<br />

Data Transmission Method and Data Format .<br />

Interface Circuit Specification .<br />

Connector Pin Explanation .<br />

Function Specifications ...............•................<br />

Strake Characteristics .••...••••.•••...•..•••..••••.•.<br />

Typematic Function .<br />

Keyboa rd Buffer .<br />

Power On Reset (AT ~1ode) .<br />

Initializing (XT Mode) .....•..........................<br />

Data Wait Function (XT Mode) .<br />

Mode Indicator (3 LED's) Display (XT ~1ode) .<br />

Key Scan Code .<br />

Key Code Output (AT Mode) .<br />

Key Code Output (XT tlode) .<br />

2-53<br />

2-54<br />

2-54<br />

2-56<br />

2-58<br />

2-60<br />

2-61<br />

2-61<br />

2-61<br />

2-61<br />

2-61<br />

2-61<br />

2-62<br />

2-64<br />

2-65<br />

2-65<br />

2-65<br />

2-65<br />

2-66<br />

2-66<br />

2-66<br />

2-66<br />

2-70<br />

2-70<br />

2-70<br />

2-70<br />

2-71<br />

2-74<br />

2-77<br />

2-77<br />

2-78<br />

2-78<br />

2-79<br />

2-79<br />

2-79<br />

2-79<br />

2-79<br />

2-80<br />

2-80<br />

2-82<br />

2-82<br />

2-83<br />

2-83<br />

2-83<br />

2-84<br />

2-84<br />

2-85<br />

2-85<br />

2-86<br />

2-87<br />

2-87<br />

2-91


2.5.8<br />

2.5.8.1<br />

2.5.8.2<br />

Commands (AT t10de) 2-91<br />

Commands From the Host Side 2-91<br />

Commands To the Host Si de 2-96<br />

Fi gu re<br />

2-1-1<br />

2-2-1<br />

2-2-2<br />

2-2-3<br />

2-2-4<br />

2-2-5<br />

2-2-6<br />

2-2-7<br />

2-2-8<br />

2-2-9<br />

2-2-10<br />

2-2-11<br />

2-2-12<br />

2-2-13<br />

2-2-14<br />

2-2-15<br />

2-2-16<br />

2-2-17<br />

2-2-18<br />

2-2-19<br />

2-2-20<br />

2-2-21<br />

2-2-22<br />

2-2-23<br />

2-3-1<br />

2-3-2<br />

2-3-3<br />

2-3-4<br />

2-3-5<br />

2-3-6<br />

2-3-7<br />

2-3-8<br />

2-3-9<br />

2-3-10<br />

2-3-11<br />

2-3-12<br />

2-3-13<br />

Title<br />

LIST OF FIGI RES<br />

Logic Block Diagram .<br />

Basic Operation Circuit ...............•..................<br />

Switching Oscillation Circuit I .<br />

Switching Oacillation Circuit 11 ......•..................<br />

Overcurrent Prevention Circuit I .<br />

Overcurrent Prevention Circuit 11 .<br />

Inrush Current Prevention Circuit .<br />

Functions of Resistor R5 and R6 .<br />

-5V and -12V Supply Circuit ...........•..................<br />

+12V Supply Circuit .<br />

+12V Stabilization Circuit .<br />

Voltage Stabilization Circuit 11 .<br />

Characterize of Z3 .<br />

Fan Revolution Speed Control Circuit .<br />

Overcurrent Prevention Circuit .<br />

+5V Supply Circuit .<br />

+5 V VoHage Stabil i ty Ci rcuit .<br />

Output Current of Photocoupler .<br />

Output Signal Waveform .<br />

Overvoltage Prevention Circuit .........•.................<br />

Overheating Prevention Circuit .<br />

Power Good Signal Generation Circuit I .<br />

Power Good Signal Generation Circuit II .<br />

Timing Chart of Power Good Signal ...•....................<br />

Internal Circuit Configuration .<br />

System Clock Supply Circuit ..<br />

Clock Speed Change Circuit .<br />

System Reset Circuit .<br />

Internal Memory Control Circuit .<br />

Byte/Word Access &16-8 Bit Data Conversion .<br />

Data Transmission to 16 Bit Device<br />

(Byte Transmission of Even Address) .<br />

Data Transmission to 16 Bit Device<br />

(Byte Transmission of Odd Address) .<br />

Data Transmission to 16 Bit Device<br />

(Word Transmission of Even Address) .<br />

Data Transmission to 8 Bit Device<br />

(Byte Transmission of Even Address) .<br />

Data Transmission to 8 Bit Device -- Read Mode<br />

(Byte Transmission of Odd Address) .<br />

Data Transmission to 8 Bit Device -- Write Mode<br />

(Byte Transmission of Odd Address) .<br />

Data Transmission to 8 Bit Device -- Read Mode<br />

(Word Transmission of Even Address) .<br />

Page<br />

2-1<br />

2-2<br />

2-3<br />

2-3<br />

2-4<br />

2-4<br />

2-5<br />

2-6<br />

2-6<br />

2-7<br />

2-7<br />

2-8<br />

2-8<br />

2-9<br />

2-9<br />

2-10<br />

2-10<br />

2-11<br />

2-11<br />

2-12<br />

2-13<br />

2-14<br />

2-15<br />

2-16<br />

2-19<br />

2-24<br />

2-24<br />

2-27<br />

2-31<br />

2-35<br />

2-36<br />

2-36<br />

2-37<br />

2-37<br />

2-38<br />

2-38<br />

2-39


2-3-14<br />

2-3-15<br />

2-3-16<br />

2-3-17<br />

2-3-18<br />

2-3-19<br />

2-3-20<br />

2-3-21<br />

2-3-22<br />

2-3-23<br />

2-3-24<br />

2-3-25<br />

2-3-26<br />

2-3-27<br />

2-3-28<br />

2-3-29<br />

2-3-30<br />

2-4-1<br />

2-4-2<br />

2-4-3<br />

2-4-4<br />

2-4-5<br />

2-4-6<br />

2-4-7<br />

2-4-8<br />

2-4-9<br />

2-4-10<br />

2-4-11<br />

2-4-12<br />

2-4-13<br />

2-4-14<br />

2-4-15<br />

2-4-16<br />

2-4-17<br />

2-4-18<br />

2-5-1<br />

2-5-2<br />

2-5-3<br />

2-5-4<br />

2-5-5<br />

2-5-6<br />

2-5-7<br />

2-5-8<br />

2-5-9<br />

2-5-10<br />

Data Transmission to 8 Bit Device -- Write Mode<br />

(Word Transmission of Even Address) .<br />

1/0 Device Access Circuit .<br />

m~A Control Circuit I (Setting of Page Register) .<br />

Dt~A Control Circuit II (Internal memory -- 1/0, 8bit) .<br />

DMA Control Circuit 111 (Internal memory -- 1/0, 8bit) .<br />

DMA Control Circuit IV (Internal memory -- 1/0, 16bit) .<br />

Ready Signal Control Circuit .<br />

Timing bet\'/een Address Signal and Read/Write Signal .<br />

Command Delay Signal Control Circuit .<br />

Interrupt Control Circuit .<br />

ROr1 Access Circuit .<br />

D-RAM Refresh Circuit .<br />

RAt,' Parity Check Circuit (Data Write ~lode) .<br />

RAt1 Parity Check Clrcuit (Data Read Mode) .<br />

Speaker Control Circuit .<br />

Keyboard Interface and Other Function Circuit .<br />

1/0 Slot Access Signal .<br />

16450 Chip Select Circuit .<br />

1/0 Address Sel ecti on .<br />

Data Output (Printer Data Register Circuit) .<br />

Outpu t Data Rea d Ci rcuit .<br />

Printer Control Signal Output Circuit .<br />

Printer Control Signal Read Circuit .<br />

Printer Status Signal Read Circuit .<br />

Interrupt Signal Control Circuit .<br />

FDD Control Circuit I (FDD -- CPU) .<br />

Interrupt Signal and D~'A Request Signal from FDC .<br />

FDD Data Write Circuit .<br />

FDD Data Read Circuit .<br />

VFO Window Circuit .<br />

FDD Terminator Function .<br />

FDD Cable Setting .<br />

Drive Select and Motor On Signal Supply Circuit .<br />

FDD Special Signal Cable .<br />

Hard Disk Drive Signal Cable •••..•.••••.•..•••..•••••..•.<br />

Keyboard Unit Block Diagram .<br />

Keyboard Data Output (AT Mode) .<br />

Keyboard Data Input (AT t1ode) .<br />

Keyboard Data Output (XT Mode) .<br />

Interface Circuit .<br />

Keyboard Connector Pin Locations .<br />

Stroke Characteristics .<br />

Typernatic Function .<br />

Basic Operation of Mode Indicator Display .<br />

Special Functions of Mode Indicator Display .<br />

2-40<br />

2-41<br />

2-44<br />

2-45<br />

2-46<br />

2-47<br />

2-50<br />

2-51<br />

2-52<br />

2-53<br />

2-55<br />

2-57<br />

2-58<br />

2-59<br />

2-60<br />

2-63<br />

2-64<br />

2-65<br />

2-66<br />

2-67<br />

2-67<br />

2-67<br />

2-68<br />

2-68<br />

2-68<br />

2-70<br />

2-70<br />

2-71<br />

2-72<br />

2-73<br />

2-74<br />

2-74<br />

2-75<br />

2-75<br />

2-76<br />

2-77<br />

2-80<br />

2-81<br />

2-81<br />

2-82<br />

2-82<br />

2-83<br />

2-83<br />

2-86<br />

2-86


LIST OF TABlES<br />

Table<br />

Title<br />

Page<br />

2-2-1<br />

2-2-2<br />

2-2-3<br />

2-3-1<br />

2-3-2<br />

2-3-3<br />

2-3-4<br />

2-3-5<br />

2-3-6<br />

2-3-7<br />

2-3-8<br />

2-3-9<br />

2-3-10<br />

2-3-11<br />

2-3-12<br />

2-3-13<br />

2-3-14<br />

2-3-15<br />

2-4-1<br />

2-4-2<br />

2-5-1<br />

2-5-2<br />

2-5-3<br />

2-5-4<br />

2-5-5<br />

2-5-6<br />

2-5-7<br />

2-5-8<br />

2-5-9<br />

2-5-10<br />

2-5-11<br />

2-5-12<br />

2-5-13<br />

2-5-14<br />

2-5-15<br />

2-5-16<br />

2-5-17<br />

2-5-18<br />

2-5-19<br />

2-5-20<br />

2-5-21<br />

2-5-22<br />

Function of Prevention Circuit ............•......•...•...<br />

Specification of DC Max Current ......•..•.....••....•....<br />

Power Down Signal Specification ....••...•.•............••<br />

Memory r·1ap •••••••••••••••••••••••••••••••••••••••••••••••<br />

LED Indications ..•.......•...•...............•....•.....•<br />

NPX Operation Speed .........•...•....•.•.•....•........••<br />

Oscillator Clock Signal Flow .<br />

Reset Signal Generation Methods .....•..••......•......•..<br />

Function of RAfl1 Chips ...•....•..........................•<br />

Jumper Connector Function ..................•........••...<br />

CPU Data Access Modes .•..................................<br />

Functions of Control Signal on GAATDB ...•...........•....<br />

Explanation of LS612 .•...•..........•....•.........•...••<br />

Wait Cycles ..........•..................................•<br />

Wai t Cycl eSel ecti on ...........................•........•<br />

Condition of The CDLY Signal Output ......•...............<br />

Ava i 1ab 1e ROt,1 Types .•...•............•.......•.....•...•.<br />

A20 Signal Control by GAATRF ..•.........•....•....•......<br />

Jumper J9 Setting ..•......•..•.............•....•....•...<br />

Difference Between 1.2 MB FDD of SD-581L<br />

and FD1155C/t1D5501 .<br />

Interface Control ~1ode ..•...•..••........................<br />

Data COJTlllunication r,1ode (AT t1ode) ..<br />

Data Comnunication t10de (XT Mode) ..<br />

Data Transmission Method and Data Format •......••..••....<br />

Keyboard Connector Pin Function .....•.•...••....•.•.••...<br />

Transmission Intervals •..•......•................•.....•.<br />

Ove rrun Code s .........••..•..................••....•.....<br />

Key Code Make Up ..•...•..•...•...•..•..••..........•....•<br />

Condition for Setting and Releasing Numeric Lock .•....•..<br />

Key Stroke Condition ..............•......................<br />

Extension Left and Right Shift Codes •...•.....••....•...•<br />

Transmission Sequence of Left and Right Codes Key ....•...<br />

Transmitted Code of F16 (break) Key ..<br />

Transmitted Code of F14 (Sys Rq) Key .<br />

Keyboard Comnands (AT mode) ..<br />

Typemati c Rate/Del ay .•.......••..••.....•....•..•.....•..<br />

Opti on Regi ster for Keyboard .........•••..•.....••..•...•<br />

Key Code t10de Status .......•...•............•............<br />

Key Code t10de on Option Data .<br />

<strong>Al</strong>l Key Typemati c Control ..<br />

Commands to The Host Si de .•...•...•..•••.......•••..•..••<br />

Key Scan Code List .........••...•.................•..•...<br />

2-16<br />

2-17<br />

2-18<br />

2-20<br />

2-22<br />

2-22<br />

2-23<br />

2-25<br />

2-28<br />

2-29<br />

2-32<br />

2-32<br />

2-42<br />

2-48<br />

2-49<br />

2-51<br />

2-54<br />

2-62<br />

2-65<br />

2-69<br />

2-78<br />

2-78<br />

2-79<br />

2-80<br />

2-82<br />

2-84<br />

2-84<br />

2-87<br />

2-88<br />

2-88<br />

2-89<br />

2-89<br />

2-90<br />

2-90<br />

2-91<br />

2-92<br />

2-94<br />

2-95<br />

2-95<br />

2-96<br />

2-96<br />

2-97


REV.A<br />

PRINCIPLES OF OPERATION<br />

2.1 HAIN SYSTEM UNIT COMPONENTS<br />

The following diagram sho~JS a functional block diagram of the EQUITY III+ /<br />

EPSON pe ÄX.<br />

1\11 interface c.ircuit except key board interface should be instaIIed on a<br />

option slot.<br />

DMAC<br />

8237x2<br />

ANTA board<br />

CPU<br />

80286<br />

I<br />

ANT-RM board ANT RM board<br />

,--_M6_E~_g_~_~_...I connecJ-t_o_r_....__c_on-t".1f1 - :~<br />

TI~ER<br />

8254-2<br />

RTC<br />

146818<br />

KEYBOARD<br />

controller<br />

8042(8742)<br />

keyboard<br />

INTC<br />

8259x2<br />

+5<br />

+12<br />

-5<br />

-12<br />

ATRPS unH<br />

Power<br />

supply<br />

unit<br />

1<br />

SPFG board<br />

slots<br />

AC<br />

outlet<br />

Monitor<br />

adapter<br />

CRT<br />

Floppy Parallel Serial<br />

disk Printer communication<br />

interface interface interface<br />

~ ,<br />

FDD<br />

PRINTER<br />

RS-232C<br />

HDD<br />

WHDC<br />

Hard disk<br />

controller<br />

board<br />

FIGURE 2-1-1.<br />

LOGIC BLOCK DIAGRAM<br />

2-1


PRINCIPLES OF OPERATION<br />

REV.B<br />

2.2 ATRPS POWER SUPPLY UNIT<br />

ATRPS Unit is available in both 115-volt supply and 230-volt supply.<br />

Switch S1 selects either 115-volt supply or 230-v01t supply, and<br />

simultaneously switches a fuse.<br />

Basic Operation<br />

ATRPS Unit uses the Forward Converter Switching Regulation way.<br />

+<br />

1__Ff'<br />

MOS<br />

FET<br />

FIGURE 2-2-1.<br />

BASIC OPERATION CIRCUIT<br />

2.2.1 Primary Oscillation Circuit<br />

The HA16654 controls the MOS-FET. By supplying power to the HA16654, current<br />

flows in the liOS-FET.<br />

2.2.1.1 Power supply circuit<br />

Power supply circuit for the HA16654 is explained by the following 2 steps:<br />

1. From power on till the transformer for switching oscillates correctly.<br />

2. After the transformer for switching has oscillated correctly.<br />

1. From POWER ON till the transformer for switching oscillates correctly.<br />

The power supply circuit is shown in Figure 2-2-2.<br />

Zenor Diode D10 and Dll works so as not to supply the HA16654 with a voltage<br />

until the input voltage V reaches the fixed-level voltage.<br />

2-2


REV .A<br />

PRINCIPLES OF OPERATION<br />

1 D10 ~<br />

D11<br />

~<br />

V<br />

1<br />

+<br />

Q2 7 4<br />

VI N<br />

HA16654<br />

6 GND<br />

FIGURE 2-2-2.<br />

SWITCHING OSCILLATION CIRCUIT I<br />

2. After the transformer for switching has oscillated correctly.<br />

No current is present in RIl t DIO t DII t and DI9 because the voltage supplied<br />

from DI2 and RIS is higher than the voltage supplied from Rl1 t DIO t Dl1 and<br />

D19. This causes the increase of efficiency of the ATRPS Unit.<br />

Tl .....<br />

I R11<br />

I<br />

'D10<br />

I<br />

I D11<br />

'D19<br />

.J<br />

t<br />

V<br />

+<br />

7 4<br />

VIN<br />

HA16654<br />

9 6 GND<br />

FIGURE 2-2-3. SWITCHING OSCILLATION CIRCUIT 11<br />

2-3


PRINCIPLES OF OPERATION<br />

REV.A<br />

2.2.1.2 Overcurrent Prevention Circuit of the MOS-FET On-Off Contro! Circuit<br />

The circuit which consists of the transistor Q4 and Q5 works as foliows:<br />

* If the input voltage is higher than the standard voltage t<br />

the Q5 collector<br />

current flows instead of the Q4 collector current.<br />

R11 05 R12 et<br />

FET<br />

Vin<br />

Q1<br />

Q2<br />

HA16654<br />

OB<br />

7<br />

5<br />

OUT<br />

VRef


REV.A<br />

PRINCIPLES OF OPERATION<br />

1) If the current flowing in the MOS-FET increases, the induced voltage (e2)<br />

increases.<br />

2) If the voltage which is distributed at R15 and R16 is higher than the<br />

standard voltage at R10 and R11, the Q5 collector current flows.<br />

3) If positive voltage is applied between base and emitter of transistor Q3,<br />

then the collector current flows.<br />

4) If the voltage at the DB terminal in the HA16654 increases, and the pulse<br />

width is reduced, then the current decreases.<br />

2.2.1.3 Inrush Current Prevention Circuit<br />

The inrush prevention circuit protects diode bridge DBI and subsequent<br />

components from being damaged by excessive current flow in C12 and C13 at<br />

power-up. R3 limits the current to RC1 when power is applied; when the<br />

switching oscillator operates normally, voltage from Tl activates TRIAC CRl,<br />

permitting current flow to RC1.<br />

eR1<br />

T 2 T 1<br />

~O<br />

R3<br />

FIGURE 2-2-6.<br />

INRUSH CURRENT PREVENTION CIRCUIT<br />

2-5


PRINCIPLES OF OPERATION<br />

REV.B<br />

(Reference)<br />

Resistor R5 and R6 have two following functions<br />

1) To be equal the voltage of the resistor R5 in e12 to that of the resistor<br />

R6 in C13.<br />

2) To use up the electric charge as soon as the switch is turned off.<br />

C12<br />

RS<br />

30K<br />

C13<br />

R6<br />

30K<br />

FIGURE 2-2-7. FUNCTIONS OF RESISTOR RS AND R6<br />

2.2.2 Secondary circuit<br />

2.2.2.1 -SV and -12V Circuit<br />

When an electromotive force which is in the direction of 1 is produced in the<br />

transformer Tl, tbe series voltage regulator 21 and 22 are supplied with the<br />

power reference through RC2 and L4.<br />

When an electromotive force which is in the direction of 2 was produced at the<br />

transformer Tl, the power reference is supplied from L4.<br />

* R17 is for capacitor discharge.<br />

* D14 and D13 is for series voltage regulator protection.<br />

014<br />

)JPC7812H<br />

or HA17812P<br />

,..........-.,<br />

AN 79MOS<br />

C37<br />

J;<br />

630V<br />

- S VO.3A<br />

-sv<br />

(2) 8<br />

C23<br />

2SV<br />

100<br />

+<br />

~, ~~ ~l~<br />

013 00 47<br />

06'="C--I~"':'::'-'-4'--""'::"'=-+-"<br />

GNO<br />

R17 0.01<br />

lW •<br />

200<br />

- 12 V0.3 A -1 2V<br />

FIGURE 2-2-8. -SV AND -12V SUPPLY CIRCUIT<br />

2-6


REV . B<br />

PRINCIPLES OF OPERATION<br />

2.2.2.2 +12V Supply Circuit<br />

a) Basic Circuit<br />

+12V supply circuit is shown in Figure 2-2-9. The function of the +12V supply<br />

circuit is same as the -sv supply circuit and -12V supply circuit.<br />

T 1<br />

L 6<br />

.............---.4.---0 + 12 V<br />

28<br />

--.....----.....-----...--4----0 G ND<br />

FIGURE 2-2-9.<br />

+12V SUPPLY CIRCUIT<br />

b) Voltage stabilization circuit<br />

b)-l. Basic Function<br />

When a control signal Is high level, an electric power through the transformer<br />

L5 is decreased. This function control the 12 volts voltage.<br />

T 1<br />

Vo ltage<br />

stabilization<br />

ci rcuit<br />

Control signal<br />

FIGURE 2-2-10.<br />

+12V STABILIZATION CIRCUIT<br />

b)-2. Voltage Stabilization Circuit<br />

The 23 i8 designed so that when the voltage of reference terminal reaches<br />

fixed level, a current flows from cathode to anode.<br />

This function is described in figure 2-2-11.<br />

2-7


PRINCIPLES OF OPERATION<br />

KEV.A<br />

L5<br />

V I N O>-----.W<br />

VOUT<br />

10<br />

Q3<br />

2.2 K<br />

10<br />

100<br />

51K<br />

cathode<br />

Z3<br />

anode<br />

RV2<br />

470n<br />

GND<br />

GN 0<br />

FIGURE 2-2-11. VOLTAGE STABILIZATION CIRCUIT 11<br />

If the Vout voltage rises, the Vref voltage rises and then the cathode<br />

current increases. After that the Q3 collector current increases, and the<br />

Vout voltage decreases ---- Function 1<br />

If the Vout voltage decrease, the Vref voltage decreases and the cathode<br />

current decreases. After that the Q3 collector current decreases and the Vout<br />

voltage rises -_._.- Function 2<br />

CATHOOE<br />

CURRENT<br />

[A]<br />

REFERENCE<br />

VOLTAGE<br />

[V]<br />

FIGURE 2-2-12.<br />

CHARACTERIZE OF Z3<br />

2-8


REV.A<br />

PRINCIPLES OF OPERATION<br />

A voltage stabilization circuit repeats the above functions and stabilizes the<br />

12V line.<br />

If a stable Vout voltage is lo\/er than +12 volts, let down a RV2 resistor<br />

value. If let down a resistor value, the Vref voltage decreases and the<br />

cathode current decreases. After that, the Q3 collector current decreases and<br />

the Vout voltage rises.<br />

In the same way, if a stable Vout voltage is higher than +12 volts, let up the<br />

resistor value of volume RV2.<br />

c) Fan Revolution Speed Control Circuit<br />

12V -----...----,<br />

When temperature increases,<br />

~ value of resistance decreases.<br />

TH1<br />

FAN<br />

GN D-----....-------


PRINCIPLES OF OPERATION<br />

REV.B<br />

2.2.2.3 +sV Supply Circuit<br />

a) Basic Circuit<br />

The +sV circuit is shown in Figure 2-2-15.<br />

The function of the +5 volts circuit is same as -SV circuit and -12V circuit.<br />

L7<br />

+ +<br />

'--........---........-------------.----0 G ND<br />

Tl<br />

FIGURE 2-2-15.<br />

+5V SUPPLY CIRCUIT<br />

b) Voltage Stability Circuit<br />

\------"4....----------0 + 5<br />

\----+-----.-----(J GND<br />

5<br />

OUT<br />

HAI6654<br />

EI<br />

R7<br />

e<br />

If<br />

RVl<br />

2.2 K<br />

GND<br />

Re<br />

Z4<br />

R32<br />

910<br />

FIGURE 2-2-16.<br />

+5V VOLTAGE STABILITY CIRCUIT<br />

2-10


HEV.A<br />

PRINCIPLES OF OPERATION<br />

Characteristics of Photo Coupler PC2<br />

In the photo coupler, the output current (IC) increases in proportion to the<br />

current flowing in the LED (IF).<br />

FIGURE 2-2-17.<br />

Characteristics of HA16654<br />

If<br />

OUTPUT CURRENT OF PHOTOCOUPLER<br />

The 8th-pin error input terminal and the 5th-pin output terminal of the<br />

HA16654 have the following relation.<br />

* If the voltage of ERROR INPUT terminal rise, the pulse width of the output<br />

terminal is reduced.<br />

ERROR INPUT<br />

DEAD BAN 0 --+-+-~~--t-~-""'~""'--<br />

I<br />

eT11<br />

1 1<br />

1 1<br />

11<br />

OUTPUT _<br />

....... "-'---~<br />

FIGURE 2-2-18.<br />

OUTPUT SIGNAL WAVEFORM<br />

Characteristics of 24<br />

When the reference vo1tage of the IC24 reaches a fixed voltage, current flows<br />

from cathode to anode shown in Figure 2-2-17.<br />

2-11


PRINCIPLES OF OPERATION<br />

HEV.A<br />

Description of circuit<br />

The voltage stabilization circuit of the +5V supply circuit utilizes above<br />

three elements.<br />

The vicinity of fixed voltage is applied to the<br />

voltage of +5V line increases, current flows in<br />

8th-pin terminal voltage of the HA16654 increases.<br />

reference terminal. As the<br />

Z4 increases, and then the<br />

Then a pulse width of the<br />

the secondary oscillation<br />

output terminal is reduced and a output voltage of<br />

circuit decreases.<br />

If the voltage of +5V line decrease, the voltage of the error input terminal<br />

of the HA16654 decreases and a pulse width of the output terminal widen.<br />

Therefore the output voltage of the secondary oscillation circuit increases.<br />

If the voltage of the stable +5 volts line is lower than +5 volts, enlarge the<br />

resistor value of volume RVl. Then the terminal voltage of R32 decreases and<br />

the current flowing in Z4 decreases. The voltage of the ERROR INPUT terminal<br />

of the HA16654 decreases and it causes the pulse width of the output terminal<br />

to widen and the +5V line voltage to increase.<br />

When a voltage of the stable +5 volts line is higher than +5 volts, let dO\m<br />

the resistor value of the volumn RVI because of the same reason as above.<br />

* The base resistor of the photo coupler is for gaining the switching speed.<br />

c) Overcurrent Prevention Circuit<br />

Function of the overcurrent prevention circuit is same as +12V supply circuit.<br />

d) Overvoltage Prevention Circuit<br />

+ 5 Vline<br />

R28<br />

08<br />

FIGURE 2-2-19.<br />

OVERVOLTAGE PREVENTION CIRCUIT<br />

2-12


RKV.A<br />

PRINCIPLES OF OPERATION<br />

2.2.2.4 Overheating Prevention Circuit<br />

When the temperature rises to a fixed level, switch TH2 is turned on. With<br />

switch TH2 turned on switch TH2, a comparator generates a high level signal<br />

and it results in no pulse output of the output terminal of the HA166654.<br />

+5V<br />

~""---GND<br />

7.5K<br />

GND<br />

82<br />

I TH2<br />

15Kfi<br />

-12V<br />

FIGURE 2-2-20.<br />

OVERHEATING PREVENTION CIRCUIT<br />

2.2.2.5 Power Good Signal Generation Circuit<br />

The pOvler good signal generation circuit monitors the +5V line, +12V line,­<br />

12V line and -5V line of the secondary oscillation circuit.<br />

If there is an abnormality (low voltage) in these lines, the power good signal<br />

changes to low level signal.<br />

<strong>Al</strong>so, it monitors a primary voltage proportion circuit. If there is an<br />

abnormality (low voltage) in the input AC adapter, power good signal changes<br />

to low level signal.<br />

The primary voltage proportion circuit is equipped with the CR circuit. The<br />

CR circuit controls timing of the power good signal.<br />

2-13


PRINCIPLES OF OPERATION<br />

REV.A<br />

+,~<br />

T1<br />

R38<br />

lOOK<br />

+<br />

017<br />

,-------,<br />

I R34 I<br />

R36<br />

27<br />

R35<br />

26<br />

I , I<br />

L<br />

...J<br />

FIGURE 2-2-21. POWER GOOD SIGNAL GENERATION CIRCUIT I<br />

(Primary voltage proportion circuit)<br />

2-14


REV.B<br />

PRINCIPLES OF OPERATION<br />

+12<br />

+5<br />

line<br />

-12V<br />

line<br />

+12<br />

line<br />

R38<br />

R39<br />

R40<br />

A~-"'"<br />

09<br />

010<br />

R 41<br />

R 42<br />

FIGURE 2-2-22. POWER GOOD SIGNAL GENERATION CIRCUIT 11<br />

2-15


PRINCIPLES OF OPERATION<br />

REV.A<br />

AC<br />

OC<br />

P.G.<br />

I<br />

I Osec.more<br />

1<br />

I<br />

I<br />

,<br />

I ..., I<br />

I I I -~<br />

I<br />

I<br />

I<br />

I<br />

\<br />

I<br />

I<br />

I<br />

I<br />

I<br />

Power ON<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

Power<br />

OFF<br />

20 msec more<br />

(When ACl00V)<br />

'\1msec<br />

more<br />

FIGURE 2-2-23. TIMING CHART OF POWER GOOD SIGNAL<br />

TABLE 2-2-1.<br />

FUNCTION OF PREVENTION CIRCUIT<br />

Detecting voltage or<br />

Detecting current<br />

Condition after<br />

detecting<br />

Overvoltage<br />

detector<br />

+ SV<br />

+12V<br />

-12V<br />

- SV<br />

5.5 volts - 7.0 volts Cut all output off.<br />

To recuperate<br />

condition, turn off<br />

AC, then on after<br />

30 seconds.<br />

Overcurrent<br />

prevention<br />

circuit<br />

+ SV<br />

+12V<br />

-12V<br />

- SV<br />

3sA<br />

16A<br />

3A<br />

3A<br />

Cut all output off.<br />

To recuperate<br />

condition, turn off<br />

AC, then on after 30<br />

seconds.<br />

2-16


REV.A<br />

PRINCIPLES OF OPERATION<br />

TABLE 2-2-2 SPECIFICATION OF DC MAX CURRENT<br />

+5V +12V -12V -5V<br />

Output current 20A 4.8A * O.3A O.3A<br />

Max (Min) (2.5A) (OA) (OA) (OA)<br />

* 7A within<br />

10 seconds<br />

after power<br />

on.<br />

Current<br />

consurnption<br />

ANTA BOARD 1.23A OA<br />

(effective<br />

value)<br />

ANT-RM BOAHD O.50A OA<br />

(effective<br />

value)<br />

SPFG BOARD O.54A OA<br />

(effective<br />

value)<br />

WHDC BOARD O.53A OA<br />

(effective<br />

value)<br />

HRS-MO BOARD O.27A OA<br />

(effective<br />

value)<br />

MRS-CR BOARD<br />

O.50A<br />

(effective<br />

value)<br />

FD1l55C O.46A O.21A (TYP)<br />

O.39A (po~ler<br />

on)<br />

MD5501 o.1lA (TYP) o.24A (TYP)<br />

1.66A (peak)<br />

HMD-720 O.2A (TYP) 2.0A (power on)<br />

O.58A (TYP)<br />

D5146 (40M HDD) l.OA (MAX) 3.0A (power on)<br />

2.0A (seek)<br />

1.2A ( read/write)<br />

2-17


PRINCIPLES OF OPERATION REV .A<br />

TAßLE 2-2-3. POWER DOWN SIGNAL SPECIFICATION<br />

Power down signal<br />

11\<br />

11SV MODE<br />

7SV<br />

,I, /1\<br />

75 80<br />

...<br />

, AC<br />

Power down signal<br />

I<br />

230V MODE<br />

lSOV<br />

" 1\<br />

150 160<br />

...<br />

,<br />

AC<br />

2-18


N<br />

I<br />

I-'<br />

\Q<br />

2 .3 ARTA MAHl CONTROL BOARD OP ERATIONS<br />

This section describes the principles of the ANTA board operation by using the diagrams<br />

which shows an internal circuit of the EQUITY 111+/ EPSON PC AX computer system. There<br />

are several blocks in the diagram for each circuit operations.<br />

ARTA BOARD ART-RM BOARD<br />

< > < ><br />

GAATCK<br />

n<br />

GAATRF<br />

! , I I<br />

GAATMI<br />

I ' I<br />

I MB81256<br />

0'<br />

equivalent<br />

~PD4164<br />

0'<br />

eCluivaient<br />

CPU<br />

~<br />

MB81256<br />

0'<br />

equivalent<br />

jJPD4164<br />

0'<br />

eQuivalenl<br />

GAATJO<br />

GAATM2<br />

GAATDB<br />

FIGURE 2-3-1. 1NTERlIAL C1RCU1T CONFIGURATION<br />

~.><br />

I"d<br />

~<br />

~<br />

~<br />

~CI)<br />

o<br />

~<br />

~<br />

I


N<br />

I<br />

N<br />

o<br />

Table 2-3-1 shows the memory map for the EQUITY lll+/EPSON pe AX computer system.<br />

TABLE 2-3-1. MEMORY KAP<br />

ADDRESS<br />

NAME FUNCnOB<br />

000000 to 09FFFF<br />

OAOOOO to OBFFFF<br />

OCOOOO to ODFFFF<br />

OEOOOO to OEFFFF<br />

OFOOOO to üFFFFF<br />

100000 to FDFFFF<br />

FEOOOO to FEFFFF<br />

FFOOOO to FFFFFF<br />

640 KB system memory<br />

128 KB video RAM<br />

128 KB r/o EXPANSION ROM<br />

64 KB reserved on system<br />

64 KB ROM on system board<br />

Maximum memory 15 MB<br />

64 KB reserved on system<br />

64 KB ROM on system board<br />

System memory<br />

Reserved for graphics display buffer.<br />

Reserved for ROM on 1/0 adapters.<br />

Duplicated code assignment at address<br />

FEOOOO.<br />

Duplicated code assignment at address<br />

FFOOOO.<br />

1/0 channel memory - memory expansion<br />

option.<br />

Duplicated code assignment at address<br />

OEOOOO.<br />

Duplicated code assignment at address<br />

OFOOOO.<br />

"'I:l<br />

~<br />

~<br />

~<br />

o<br />

"":l<br />

;~<br />

i<br />

~<br />

>


N<br />

I<br />

N<br />

"""<br />

2.3.1 System Clock Generation Circuit<br />

<strong>Al</strong>l clock signals are supplied from the gate array (GAATCK) except the RTC (146818)<br />

clock signal. The GAATCK generates the following clocks.<br />

1) CPU clock (12 MHz, 16MHz, 20MHz)<br />

2) NPX c10ck (mfilz)<br />

3) DMA clock (3MHz, 4~frlz, 5~rnz)<br />

4) Timer counter c10ck (1.19MHz)<br />

5) Keyboard controller clock (6~rnz)<br />

6) OSC clock (for option slot: 14.31818Mllz)<br />

7) SCLK signal (System clock for option slot: 6}filz, 8MHz, 10}lHz)<br />

< REKARK ><br />

Please be careful with difference between 'clock speed' and 'operation speed'.<br />

The CPU and the NPX divide an input clock signal by one's internal circuit. (NPX<br />

does not divide the input clock signal in 8}filz mode. Please refer to Section 2­<br />

2-3.) This means there are some cases that the input clock speed is not<br />

identical with the operation speed. This manual defines these words as below.<br />

Clock speed -------- Input or output clock signal speed<br />

Operation speed ---- Internal clock speed<br />

2.3.1.1 Select CPU Operation Speed<br />

The EQUITY III+/EPSON PC AX has three kinds of CPU operation speeds. One is 6MHz, the<br />

same as IBM AT, 8MHz is the same as IBM NEW AT, and 1Ot1Hz is faster operation speed<br />

than IBM AT or NEW AT.<br />

We can select these CPU operation speeds by slide switch (SW2) ..<strong>Al</strong>so, the GAATCK<br />

includes clock speed select circuit.<br />

2.3.1.2 LED Indications<br />

There are two LEDs on the clock speed change circuit. These LEDs indicate the CPU<br />

~.><br />

Jod<br />

~<br />

~<br />

~<br />

~<br />

o"z:I<br />

~<br />

~<br />

~


l'.)<br />

I<br />

l'.)<br />

l'.)<br />

operation speed.<br />

TADLE 2-3-2. LED IRDICATIONS<br />

""d<br />

~<br />

~<br />

< REKARK ><br />

LED INDICATIONS CPD OPERATION SPEED<br />

RED<br />

ORANGE<br />

GREEN<br />

6HHz<br />

SHHz<br />

10MHz<br />

~~<br />

o<br />

loz:I<br />

o ""d<br />

t"'J<br />

§<br />

~<br />

Jumper connector J1 is inhibitted to change setting. (<strong>Al</strong>ways connect betvJeen A to C )<br />

2.3.1.3 Select NPX (80287) Operation Speed<br />

The EQUITY III+i EPSON PC AX has two kinds of NPX operation speed modes.<br />

TADLE 2-3-3. NPX OPERATION SPEED<br />

NPX OPERATION SPEED MODES OPERATION SPEED<br />

(CPU OPERATION SPEED)<br />

1) CPU CLOCK MODE (~nlz)<br />

( SHHz)<br />

( 1000mz)<br />

2) 8MHz MODE (6NHz, SMHz, 1OHHz)<br />

4HHz<br />

5.3HHz<br />

6. lNHz<br />

8MHz<br />

A selection is performed by setting of jumper connector Jl and J2 on the ANTA board<br />

(Main circuit board). Jumper connector setting of Jl and J2 are listed in CHAPTER 7.<br />

< REKARK ><br />

NPX ~.><br />

divides the CPU clock into three in the CPU clock mode.<br />

In CPU CLOCK MODE, the NPX divides the CPU clock into three. But 8}1Hz mode, the<br />

NPX does not divide SMHz clock.


N<br />

I<br />

N<br />

W<br />

2.3.1.4 Oscillator<br />

There are three oscillators on the ANTA board. The GAATCK inputs these signals<br />

and produces the following clock signals.<br />

TABLE 2-3-4. OSCILLA'l'OR CLOCK SIGNAL FLOW<br />

OSC CLOCK SPEED GAATCK DIVIDE<br />

'l'BE CLOCK IN'l'O;<br />

GAATCK OU1PUTS; CONNECT 'l'O;<br />

(MAJOR CHIP)<br />

48lvlliz<br />

20MHz<br />

14 .31818MHz<br />

1/3<br />

1/4<br />

1/6<br />

1/8<br />

1/1<br />

1/1<br />

1/12<br />

16MHz<br />

12MHz<br />

8MHz<br />

6MHz<br />

20HHz<br />

14.31818MHz<br />

1.19l-1Hz<br />

CPU<br />

CPU<br />

NPX<br />

KEYBOARD CONTROLLER<br />

CPU<br />

OPTION SLOT<br />

TIMER COUNTER<br />

~.><br />

~<br />

~<br />

~<br />

~<br />

~ cn<br />

o<br />

l'Zj<br />

~<br />

to:j<br />

~t-I<br />

oZ


I<br />

Ṇ<br />

/>0<br />

+S<br />

r1;--;;-ilO:4-----t-+-......::.~ CSPD 0<br />

N<br />

L~~~~L~:I-----i-t---'1 CSPD'<br />

1S01\ ~ ~ 1SOn<br />

J 1<br />

CN3<br />

GAATCK<br />

Red ftGreen<br />

er<br />

FIGURE 2-3-2. SYSTEM CWCK SllPPLY CIRCUIT FIGURE 2-3-3. CLOCK SPEED CBAI«;E CIRCUIT<br />

"tl<br />

~<br />

~<br />

cn<br />

~<br />

o<br />

~<br />

~<br />

~<br />

~<br />

~!2:<br />

~<br />

>


N<br />

2.3.2 System Reset Signal Generator Circuit<br />

There are three kinds of reset signal on the EQUITY III+/EPSON PC AX computer system<br />

below. The gate array GAATCK generates these signals.<br />

1) CPU RESET SIGNAL<br />

2) INTERNAL CIRCUIT RESET SIGNAL<br />

3) OPTION SLOT RESET SIGNAL<br />

To generate the above reset signals> there are the following methods.<br />

TABLE 2-3-5. RESET SIGNAL GENERATION METHODS<br />

RESET SIGNAL<br />

METHODS<br />

CPU RESET SIGNAL<br />

INTERNAL CIRCUIT RESET SIGNAL<br />

OPTION SLOT REST SIGNAL<br />

1) PWGD signal goes low.<br />

2) Reset switch is pushed.<br />

3) Re signal goes active.(Software reset)<br />

4) Shut down cycle is executed.<br />

Reset switch is pushed.<br />

Reset switch is pushed.<br />

< REMARK ><br />

The software reset command (RC goes active) resets only the CPU.<br />

When gate array GAATCK receives the RC signal, it generates the RSCPU signal.<br />

N<br />

\Jl<br />

I<br />

;:0<br />

trJ<br />


N<br />

I<br />

2.3.2.1<br />

N<br />

0'<br />

System Reset Circuit<br />

1) PWGD signal<br />

The power supply unit (ATRPS unit) generates a signal.<br />

unit has some problems, the PWGD signal becomes low.<br />

keeps high level.<br />

When the gate array GAATCK receives the PWGD signal,<br />

signal, a RSDN signal and a RSCPU signal.<br />

When the power supply<br />

Normally, this signal<br />

it generates a RSN<br />

2) Reset switch (SW3)<br />

When the reset switch SW3 is pushed, the GAATCK generates a RSN signal, a<br />

RSDN signal and a RSCPU signal. This computer system can disable the reset<br />

switch signal by locking the key cylinder on the front panel. When the key<br />

cylinder is locked, the key cylinder switch becomes on. When the key<br />

cylinder switch is on, the GAATCK does not output any reset signals.<br />

3) RC signal<br />

A RC signal is sent from P20 pin of the keyboard controller (8042) which can<br />

be controlled by software.<br />

A RSCPU signal becomes active by the active RC signal.<br />

(Refer to the remark in section 2-4.)<br />

4) Shut down cycle<br />

A Shut down cycle is a kind of CPU execution cycle. When the CPU executes<br />

this cycle, it means that the CPU detects a fatal software error with which<br />

the CPU can not continue its current operation. CPU indicates this cycle with<br />

SO, S1, M/lO, COD/lNTA signals.<br />

The shut down circuit of the GAATCK is searching for the shut down cycle<br />

whenever power is on and if it detects the shut down cycle, it generates an<br />

active RSCPU signal.<br />

"tl<br />

~<br />

~<br />

o<br />

"":l<br />

~<br />

tzj<br />

~H oiZl<br />

~<br />

&.


N<br />

+5·5<br />

~Hls~<br />

CN3~--·"'-"<br />

(j flJ5:rol---rol:l;;!.....-Ol ---..../K.y Cyliod. r ,....... _<br />

~:et----d ~Sw1tch I I<br />

GAATAB ,------: GAATRF GAATlo41<br />

DM<strong>Al</strong><br />

8237A-5<br />

~ RESET<br />

;<br />

><br />

i<br />

SW3<br />

~= ~ SWON<br />

llheo the res.t SW 1$<br />

PIlshed. thls .19011<br />

_.low.<br />

10 cu. of k.ylockl09.<br />

thl. s19011 ls 11.lys<br />

h19h _.r the<br />

res.t SII ls PUShed.<br />

J;.R 5 T 0 I--­<br />

~II , r<br />

GAATCB<br />

RSTNi<br />

=<br />

L+j RESET<br />

10 the fo11owl"9 eondltlon.<br />

thls slgnel _. leth••<br />

(1) PIIGO sl9O.l _. 10w<br />

(2) ReHt SW ls pushed<br />

(3) RC .19011 _s leth.<br />

(4) Shut down eycl.<br />

GAATIO GAATM2<br />

i i<br />

BltO. 1. 2 Ind 31<br />

Ire el..red<br />

~RST_<br />

!ill!:! 8042 GAATDB<br />

Illml-<br />

Ṉ<br />

..J<br />

RESETI-<br />

PRSI-<br />

P201---<br />

DMA2<br />

8237A-5<br />

L..,..jRESET<br />

I<br />

FIGDRE 2-3-4. SYSTEM RESET CIRCUIT<br />

"'tl<br />

;::<br />

~<br />

~<br />

cn<br />

~<br />

o<br />

'"i<br />

;i6<br />

S i


N<br />

I<br />

N<br />

00<br />

2.3.3 Internal Memory Control Circuit<br />

<strong>Al</strong>l system memory chips are integrated on the ANT-RM board. If the EQUITY<br />

III+/EPSON pe AX computer system has problem that system does not boot up, you<br />

should better to replace the ANT-RM board first because you can determine if the<br />

problem is caused by RAM chips or the other circuit.<br />

2.3.3.1 RAH Chip type<br />

On the ANT-P~ board, there are three kinds of RAM chips. (Refer to Table 2-3-6)<br />

TABLE 2-3-6. FUNCTION OF RAH CHIPS<br />

RAH CHIP TYPE Q'TY FUNCTION<br />

256K-word by 1bit 16 System memory (512KB)<br />

64K-word by 4bit 4 System memory (128KB)<br />

256K-word by 1bit 2 Parity check<br />

64 K-vlOrd by 1bit 2 Parity check<br />

2.3.3.2. RAH Chip addresses<br />

The system memory RAM chips are located in the following address.<br />

09FFFF ------------------------------------------<br />

080000 64K-word by 4bit RAM chips<br />

07FFFF<br />

256K-word by 1bit RAM chips<br />

000000 -------------------------------------------<br />

RAH CHIP ADDRESSES OF SYSTEM MEMORY<br />

I'd<br />

~<br />

~<br />

~<br />

~<br />

tI:l<br />

o<br />

~<br />

~<br />

t:z:l<br />

~<br />

~<br />

Z<br />

5.>


t-,)<br />

I<br />

t-,)<br />

ID<br />

2.3.3.3 Jumper Connector Function<br />

We can disable the system memory by setting of the jumper connectors J1,J2 and J3.<br />

TABLE 2-3-7. JUMPER CONNEC'IDR FUNCTION<br />

J3 J1 J2<br />

DESCRIP TION<br />

A-C A-C A-C<br />

AV AILABLE AREA 09FFFF TO 000000 (640KB)<br />

B-C A-C<br />

AVAILABLE AREA 07FFFF TO 000000 (512KB)<br />

B-C B-C<br />

AVAILABLE AREA 03FFFF TO 000000 (256KB)<br />

B-C AV AILABLE AREA NONE ( OKB)<br />

2.3.3.4 Operations of Memory Contro! Circuit<br />

1) ADDRESS SIGNAL<br />

The CPU outputs address signal (AO to A23). Next, the GAATM2 (Memory<br />

control gate array 2 ) receives from <strong>Al</strong> signal to A18 signal to make RM1<br />

chip address signal. <strong>Al</strong>so, the GAATM1 (Memory control gate array 1 )<br />

receives from A17 signal to A23 signal and AO signal to make a RAS signal,<br />

a CAS signal and etc.<br />

< REKARK 1 ><br />

The GAATRF controls A20 signal.<br />

< REKARK 2 ><br />

SAO signal on the GAATAB is not used by memory control circuit in RAM read/<br />

write mode. But it will used in the D-RAM refresh mode.<br />

~ •><br />

'"C<br />

~<br />

~<br />

~<br />

r.n<br />

~<br />

l'Zj<br />

o<br />

~<br />

t:o:I<br />

~H<br />

oZ


N<br />

I<br />

~<br />

2) DATA SIGNAL<br />

GAATDB controls data bus by its internal data bus buffer.<br />

3) READ/WRITE CONTROL SIGNAL<br />

CPU outputs SO, SI, M/lO signals. GAATCK receives these signals and makes<br />

MEMRN and MEMWN signals. GAATM2 receives MEMR and MEMW signals to control<br />

RAS and CAS signals. <strong>Al</strong>so, GAATM2 receives XMWN (MEMWN) signal which has<br />

flowed through GAATCB to make WE signal of the RAM chips. GAATMI receives<br />

XMRN (MEMRN) signal which has flowed through GMTCB to make RAS, CAS<br />

signals.<br />

4) RAM CHIP CONTROL SIGNALS<br />

<strong>Al</strong>l D-PJlli control signals are sent from GMTM2.<br />

5) D-RAM REFRESH CONTROL ClRCUlT<br />

Refer to Section 2.3.11.<br />

"tl<br />

~<br />

~<br />

~<br />

~ cn<br />

o<br />

~<br />

~<br />

t:r:l<br />

§<br />

o z<br />

; .<br />

>


N<br />

( OA TA BUS C~<br />

TO<br />

FRO""<br />

RA""<br />

RA""<br />

CHIP<br />

CHIP<br />

FIGURE 2-3-5. INTERNAL MEMORY COBTROL CIRCUIT<br />

GAATAB GAATRF<br />

I<br />

W<br />

Used for address sel.ct1ng<br />

:~d ~8' RA1. CAH. CAL<br />

~<br />

5><br />

"'tl<br />

~<br />

~<br />

~<br />

~<br />

o"I:j<br />

o "'tl<br />

~I-l<br />

j


N,W N<br />

2.3.4 Byte/Word Access & 16-8 Bit Data Conversion<br />

The CPU has the following data access modes.<br />

16-8 bit data conversion means word transmission of even address (8 bit device).<br />

TABLE 2-3-8. CPU DATA ACCESS MODES<br />

ID. MODE DATA TRANSMISSION 1'0; REFERENCE<br />

1) BYTE TRANSHISSION OF EVEN ADDRESS 16-BIT DEVICE Figure 2-3-7<br />

2) BYTE TRANSMISSION OF ODD ADDRESS 16-BIT DEVICE Figure 2-3-8<br />

3) WORD TRANSMISSION OF EVEN ADDRESS 16-BIT DEVICE Figure 2-3-9<br />

4) WORD TRANSMISSION OF ODn ADDRESS 16-BIT DEVICE<br />

5) BYTE TRANSHISSION OF EVEN ADDRESS 8-BIT DEVICE Figure 2-3-10<br />

6) BYTE TRANSMISSION OF ODD ADDRESS 8-BIT DEVICE Figure 2-3-11<br />

7) WORD TRANSMISSION OF EVEN ADDRESS 8-BIT DEVICE 16-8 BIT DATA CONVERSION<br />

8) WORD TRANSMISSION OF ODD ADDRESS 8-BIT DEVICE Figure 2-3-12<br />

2.3.4.1 Data Bus Control Signal on GAA'IDB<br />

The GAATDB includes five 8-bit buffers. This buffer needs a gate control signal and<br />

a direction control signal. The following table describes gate control signals and<br />

direction signals.<br />

TABLE 2-3-9. FUNCTIONS OF CONTROL SIGNAL ON GAA'IDB.<br />

SIGNAL NAME<br />

DESCRIP TION<br />

D245, G245<br />

GHDHN, GMDLN, DHD<br />

GDHN, GDLN, DD<br />

CBA, SBA<br />

Controls data bus conversion (High byte --> low byte)<br />

(Low byte --> High byte)<br />

Controls Memory data (Disabling, Direction control)<br />

Controls CPU data (Disabling, Direction control)<br />

Controls data latching (With 16-8 bit data conversion)<br />

"'tl<br />

~<br />

~<br />

~<br />

~ tn<br />

o<br />

'::l<br />

o<br />

"'tl<br />

t"i<br />

~<br />

i<br />

~<br />

5>


N<br />

I<br />

~<br />

~<br />

2.3.4.2 Circuit Operation of Data Conversion<br />

1) BYTE TRANSMISSION OF EVEN ADDRESS (16-BIT DEVICE)<br />

Figure 2-3-7 shows the GAATDB internal circuit operation.<br />

2) BYTE TRA1\JSMISSION OF ODD ADDRESS (1G-BIT DEVICE)<br />

Figure 2-3-8 shows the GAATDB internal circuit operation.<br />

3) WORD TRAT\fSHISSION OF EVEN ADDRESS (1(";-BIT DEVICE)<br />

Figure 2-3-9 shows the GMTDB internal circuit opera.tion.<br />

4) WORD TRANSHISSION OF ODD ADDRESS (16-BIT DEVICE)<br />

The CPU operatrs as folIows. First, the CPU executes the<br />

ODD ADDRESS (16-BIT DEVICE). Next, the CPU executes the<br />

EVEN ADDRESS (16-BIT DEVICE). When a software programrner<br />

do the WORD 'fRANSMISSION OF ODD ADDRESS (16-BIT DEVICE),<br />

instruction 'vith the above steps automatically.<br />

BYTE TRANSHISSION OF<br />

BYTE TRANS~lISSION OF<br />

instructs t,he CPU to<br />

the CPU executes the<br />

5) BYTE TR;\;\JSMISSION OF EVEN ADDRESS (8-BIT DEVICE)<br />

Figure 2-3-10 shows the GAATIlB internal circuit operat,ion.<br />

6) BllE TR~NSHISSION OF ODD ADDRESS (8-BIT DFYICE)<br />

Figure 2-3-11 and Figure 2-3-12 show the GA~TDB internal c rcuit operations.<br />

(Read mode --- Figure 2-3-11, Write mode --- Figure 2-3-12<br />

7) WORD TR~\jSM1SSION OF EVCN ADDRESS (8-B1T DEV1CE) : 16-8 bit data conversion<br />

Figure 2-3-13 and Figure 2-3-14 show the GAATDB internal circuit operations.<br />

(Read mode --- Figure 2-3-13, Hrite mode --- Figure 2-3-14).<br />

In this section, there are two important signals. One is a COFF signal, the<br />

other is a XAO signal.<br />

COFF SIGNAL<br />

The GAATRF outputs this signal. The GA.ATCK receives this signal to make two<br />

8-bit device read/write signals. <strong>Al</strong>so, the GAATDB receives this signal to<br />

catch a low byte data by the internal buffer LS646.<br />

* Hhile the GAATCK req'.ives an active COFF signal, a read/write signal of the<br />

Q~TCK will be inactive.<br />

~<br />

><br />

t'C<br />

~<br />

~<br />

~<br />

~<br />

o"Jj<br />

o<br />

i<br />

t'C


N<br />

I<br />

~ LSAO SIGNAL (XAO SIGNAL)<br />

The GAL\TRF outputs this signal. In the 16-8 bit data conversion mode, the<br />

GAJ\TI~F does not use a CPU AO signal to make a LSAO signal. (This means, the<br />

internal circuit of the GAATRF generates the LSAO signal but not in the<br />

conversion mode, the GAL\TRF uses the CPU AO signal to make LSAO signal.) The<br />

internal buffer LS646 of the GAATDB inputs this signal (XAO signal) to send the<br />

catched data to t,he CPU. <strong>Al</strong>so, the 8-bit device receive this signal to<br />

determine address. 'Ifuile a 16-8 bit data conversion, this signal changes<br />

status as low to high.<br />

'"I:l<br />

~<br />

~tn<br />

o<br />

~<br />

fa<br />

tz:l<br />

~<br />

~2i<br />

~<br />

>


N<br />

I<br />

~<br />

To int......l<br />

....ry<br />

GAATCK _r"O,...._ ..<br />

MENR. MEMW. OR .nd IOW<br />

~"'~' "~""1<br />

GAATAB<br />

I>AAHIF GAATMI<br />

tt'"<br />

lSAO ~<br />

be_n 16 .nd 8bitJ<br />

IAO clrcult<br />

1fF.'~~'"'l]' lAO<br />

Ils i9n.ls XlHE<br />

::<br />

~~"';~1~ AO ~ C:~''''10n<br />

..-- D245 }liiise signals oro ,J<br />

tput by decordlng<br />

signals beeane disabled. ~ G24SN X<strong>Al</strong>l.nd XIIllE slgn.ls<br />

C~~:ill<br />

r-- CDFF ...<br />

[~ GAATCK ree:oiv.s an aeUv. ClFF signal. .1<br />

the road/write signal of GAATCK goss inecUve.<br />

i~DH~h<br />

DU A GDlN<br />

CPU GAATCB<br />

~ signals are, r--- DMD<br />

.... output by<br />

:;.E.. '''~i:.1l.,l Si~ decoding OTR<br />

l5AO .nd IM<br />

.....-<br />

51'7'815.<br />

IHE BHE<br />

GAATIO GAATMZ<br />

TC<br />

..OU_<br />

11 ' i<br />

•<br />

I S<br />

CPU<br />

. ,<br />

iiüllü<br />

BBM-tt<br />

J},I<br />

..<br />

i OL -<br />

•• N<br />

GAATDB<br />

)'i] : LI.... :<br />

D245r-<br />

~~ G245<br />

k~ ~ GMDHNr-<br />

2<br />

•s<br />

~ GMDlNr-<br />

III 024S Equl••l.nt DMD<br />

024s. Inte.....1<br />

-<br />

Circult GDHN<br />

-<br />

GDlN<br />

TD OPTION SLOT<br />

---------- 8 bit D.t. bus<br />

"UJ210<br />

FIGURE 2-3-6.<br />

BYTE/WORD ACCESS &<br />

16-8 BIT DATA CONVKRSION<br />

Ai<br />

t:IJ<br />


N<br />

I<br />

I,o,l<br />

(7\<br />

!<br />

!I:!<br />

~<br />

1401<br />

l<br />

1400<br />

. u ugu.fl<br />

>< i i l H<br />

~<br />

~~HNI~IA){~<br />

~~~~~~ C8A GOlN l<br />

S8A lAD) l<br />

MAD<br />

IIRITE<br />

CPU<br />

rA<br />

r<br />

01- 00<br />

t ••• REAO<br />

00 lOIA) H ...IIRIIE<br />

GOlN l,H<br />

~~~~~~~~~~~ GOHN CU I COFF) l l<br />

I I i SBA (AO) H<br />

{<br />

BkA<br />

2<br />

B 4 A<br />

5<br />

DIA<br />

i:<br />

I 0245<br />

G245N H<br />

!..J<br />

::!<br />

§<br />

14015<br />

2<br />

'"'08<br />

Bk A<br />

2<br />

B 4 A<br />

5<br />

~ 0245 l<br />

i: G245N l<br />

I<br />

0140<br />

f-------- ~~gr~ I :~~) r<br />

G,",OHN I 8HEIl<br />

, G,",OlN (AO) ,H<br />

1================= 0'"'0<br />

S01", SOO 5015"" S08<br />

OPTION SlOT OPTION SlOT<br />

FIGURE 2-3-7. DATA TRANSMISSION 1'0 16 BIT DEVICE<br />

(BYTE TRANSMISSION OF EVEN ADDRESS)<br />

FIGURE 2-3-8. DATA TRANAMISSION 1'0 16 BIT DEVICE<br />

(BYTE TRANSMISSION OF ODD ADDRESS)<br />

"'C<br />

~<br />

~<br />

~cn<br />

o<br />

>'Ij<br />

o "'C<br />

~<br />

5~<br />

AI<br />

M<br />

-<<br />

ṫJj


MOlS<br />

l<br />

:;1 MOl<br />

l@j<br />

{<br />

i~~~TRI l READ<br />

H WRIT[<br />

~-~~~~~~ SBIo (1001 l<br />

,<br />

Bk I.<br />

B'<br />

2<br />

I.<br />

5<br />

DIR<br />

C<br />

Operotes OS 0 buffer. At the<br />

}~:tBgt~rI:' '~~~h~:~' dote<br />

When the SM goes hIgh, th.<br />

doto latched by th. eR" s Igno'<br />

Is output.<br />

I DUS<br />

GUSN H<br />

1<br />

'Only .hen reedtng t:-'" internal<br />

"""""ry thls slgno' goes hIgh.<br />

DMD'<br />

1-------- GMDHN (BHE I l<br />

!--------GMDlN (1001 l<br />

!<br />

a<br />

~<br />

~<br />

OO<br />

(OIRI{~<br />

GDHN H<br />

GDlN l<br />

CU l<br />

SBIo (1001 l<br />

READ<br />

WRlrE<br />

I. t B<br />

S<br />

2<br />

I. , 8<br />

5<br />

C DIR<br />

8 kI.<br />

8'<br />

2<br />

I.<br />

5<br />

DIR<br />

C<br />

I DUS<br />

GZASN H<br />

0<br />

N<br />

~<br />

:0:<br />

,J<br />

~<br />

~ w<br />

~I MOl<br />

l<br />

MOG<br />

OPTION SlOr<br />

SOlS"" SOl 507'" 500 507'" 500<br />

FIGDRE 2-3-9. DATA TRANSMISSION TO 16 BIT DEVICE<br />

(WORD TRANSMISSION OF EVEN ADDRESS)<br />

W '-l<br />

I. AB<br />

Io~B<br />

5<br />

C DIR<br />

I<br />

DMD<br />

--------------~=gr~I:~~' r<br />

11 blt<br />

FIGDRE 2-3-10. DATA TRANSMISSION TO 8 BIT DEVICE<br />

(BYTE TRANSMISSION OF EVEN ADDRESS)<br />

I<br />

!<br />

;.<br />

""d<br />

~<br />

~<br />

~<br />

tn<br />

~<br />

o<br />

'oz:l<br />

~<br />

~ i


N<br />

I<br />

~<br />

rA<br />

_<br />

00 (DI<strong>Al</strong>l<br />

~~~~~~~~~~~GDHN l<br />

I, , ~::N(~OI H<br />

­At B<br />

s<br />

2<br />

A , B<br />

5<br />

II<br />

DIA<br />

Dlet I<br />

I 0245 H<br />

G24SN l<br />

~<br />

i<br />

~<br />

At B<br />

S 2<br />

A , B<br />

r;<br />

DIA<br />

5<br />

I<br />

OMO<br />

1------- g~gr~ : :~il ~<br />

~<br />

Shit DEVlC[<br />

FIGURE 2-3-11. DATA TRANSMISSION TO 8 BIT DEVICE<br />

READ MODE<br />

(BYTE TRANSMISSION OF OnD ADDRESS)<br />

r<br />

OIS~ 01<br />

CPU<br />

I<br />

"'-../ L..,/8IA<br />

11<br />

-<br />

....--.-- A ~ S ,I <strong>Al</strong>~2'5Ai .11 i Cii~S6': ~ ~I<br />

, 8 8<br />

-<br />

. 8 888<br />

~<br />

,..-,.-<br />

B<br />

~ B~A<br />

A , B 2<br />

5 5<br />

r--


N<br />

I<br />

wIQ<br />

-<br />

1. . . I<br />

:>


N<br />

I<br />

oll'­<br />

o<br />

* The 8 bit device reads Data 1.<br />

* The 8 bit device reads Data 2.<br />

015-0'<br />

CPU<br />

01- 00<br />

, ~ ~ " G~~al!<br />

i i 'i<br />

i , heft .ddren date<br />

~<br />

OO N<br />

GONN l<br />

GOlN l<br />

C.. l<br />

se... l<br />

I ..rc/I OUS<br />

~h----I G'''5N H<br />

I OMO<br />

GMDHN l<br />

GMOlN l<br />

cl<br />

.~.<br />

1<br />

• 4 •<br />

5<br />

~ 01.<br />

CPU<br />

. ,",li.ß1. if::~<br />

·i·<br />

• 4 •<br />

5<br />

0'.l:<br />

I OIU<br />

GUSN H<br />

1:===== ,: :::HN GMDlN<br />

cl<br />

4--<br />

-. t"<br />

5 1<br />

• 4 •<br />

l:<br />

01.<br />

5<br />

015- 01<br />

CPU<br />

II 'I I' II ,."I<br />

0'Ġ'<br />

~<br />

DD N<br />

GDHH l<br />

GDlN ce- H<br />

H<br />

S8" H<br />

I blU l<br />

GUSN l<br />

GM""" l<br />

I~====== , DMO GMb... " ..<br />

8Mt o[Vla "hit DUltl<br />

Ilbtl Ill:YIC(<br />

FIGURE 2-3-14. DATA TRANSMISSION TO 8 BIT DEVICE<br />

(WORD TRANSMISSION OF EVEN ADDRESS)<br />

16-8 BIT DATA CONVERSION<br />

-- WRITE MODE<br />

"C<br />

~<br />

~v.l<br />

o<br />

"'d<br />

~<br />

t::l<br />

~H oZ<br />

:;0<br />

t:r:I<br />


!',)<br />

I<br />

oll­<br />

J-I<br />

2.3.5 "C<br />

1/0 Device Access Circuit<br />

~<br />

•<br />

The GAATrO makes r/o device chip select signals by decording address signal.<br />

An ALS245 is used in the data transmission between the CPU and the r/o device.<br />

><br />

GAATCK<br />

GAATAB<br />

GAATAF 4237A-5 80287<br />

l,SA.\... I LSAO I ..,....~ eMOO<br />

::~:::~ ~~ eMD!<br />

••4.1.3.3<br />

~<br />

NIIWrl<br />

NP51<br />

NPS2<br />

110 deV1C' L-'<br />

DIA}<br />

I[<br />

825"-2<br />

$~~. I<br />

GAAICB<br />

10 GAATRF<br />

0'"<br />

~Io- IO~A<br />

~<br />

8254-2 146818<br />

GAATIO<br />

.,..<br />

rff<br />

110''''<br />

DOWN<br />

A/Vi<br />

"'OS<br />

AS<br />

cso..c POWER<br />

015<br />

CSDIII<br />

~r-SUPPLY<br />

SIGN<strong>Al</strong>.<br />

CI.t.<br />

(<br />

eS'lII<br />

DO<br />

CS"'''<br />

GAATOB<br />

",d<br />

IlCSN<br />

CSUN<br />

T. 8blt 1/0 devlce<br />

~<br />

~<br />

~<br />

~ cn<br />

o<br />

~<br />

o "C<br />

tz:l<br />

FIGURE 2-3-15.<br />

1/0 DEV1CE ACCESS C1RCUIT<br />

~t-I<br />

oZ


N<br />

Ja.<br />

N<br />

2.3.6 DMA Contral Circuit<br />

There are two DM controller on the main board (ANTA BOARD). A DMA1 (Ioeation: 2F)<br />

eontrols an 8-bit data transmission. A DMA2 (loeation: 2E) eontrols a 16-bit data<br />

transmission. It requires a page register to output address signal from A16 to A23.<br />

The page register is ineluded in the GAATIO.<br />

This seetion deseribes the following items.<br />

1) Page register setting eireuit<br />

2) 8-bit DMA (Internal memory -- 1/0)<br />

3) 8-bit DMA (Internal memory -- Internal memory)<br />

4) 16-bit D}1A (Internal memory -- 1/0)<br />

2.3.6.1 Page Register Setting Circuit<br />

The GAATIO ineludes a page register. The funetion of the page register is identieal<br />

with T1L IC LS612. Table 2-3-10 deseribes about the function of LS612.<br />

TABLE 2-3-10. EXPLANATION OF LS612<br />

PIN NA!'lE<br />

HJ\fCTIONAL DESCR1PTION<br />

DO thru Dll<br />

RSO thru RS3<br />

R/-w<br />

-STROBE<br />

-es<br />

!'lAO thru !'lA3<br />

MAO thru HO11<br />

-HE<br />

1/0 connections to data and control bus used for reading from and hTiting<br />

to the map register seleeted by RSO-RS3 \vhen -es i s 10w. ~lode eontrolled<br />

by R/-W.<br />

Register seleet inputs for 1/0 operations.<br />

Read 01' \vrite eontrol used in 1/0 operations to seleet the eondition of<br />

the data bus. \~hen high, the data bus outputs are active for reading the<br />

register. w'hen lOH, the data bus is used to write into the register.<br />

Strobe input used to enter data into the selected map regist.er during 1/0<br />

operations.<br />

Chip seleet input. A loh' input level selects the memory mapper (assuming<br />

more than on used) for an 1/0 operation.<br />

!'lap address inputs to select one of 16 map registers h'hen in map mode.<br />

!'1ap outputs. Present. the map register cont.ents tu the system memeory<br />

address bus in the map mode.<br />

In tbe pass mode, these outputs provide the map address data on NOS-HOll<br />

and low levels on 0'100-0'107.<br />

!'lap enable for the map outputs. A low level allows the outputs to be<br />

aetive while a high input level puts the outputs at high impedanee.<br />

"tl<br />

~<br />

~<br />

~cn<br />

o<br />

l'Ij<br />

~<br />

~<br />

~<br />

~<br />

:;d<br />

t:rl<br />


N<br />

},.<br />

w<br />

The LS612 has sixteen 12-bit registers. The R/\~ signal and the STB signal is used<br />

as a read/write signal with pair. The RSO to the RS3 is used as a select signal of<br />

registers when data setting. The MAü to the NA3 is used as a select signal of<br />

registers when output data written. When setting mode, data is sent or received<br />

from "DO TO D7" pin. w'hen output mode, data is sent from "HDO to HD7" pin.<br />

When the ME signal is active, data written will be sent from "HDO to MD7" pin.<br />

2.3.6.2 8-bit DMA (Interna! Memory - 1/0)<br />

Figure 2-3-17 shows the 8-bit DMA (Internal memory -- 1/0 ) operation.<br />

In the DMA mode, a MEMRN, a MEMWN, a IORN and an IOWN signal are output signals.<br />

(When CPU control mode, these signals are input signals.)<br />

2.3.6.3 8-bit DMA (Interna! Memory - Interna! Memory)<br />

Figure 2-3-18 shows the 8-bit DMA (Internal memory -- Internal memory) operation.<br />

First, the DMA controller stores memory data. Next, the DMA cont roller changes<br />

address signal and writes the stored data to the memory.<br />

2.3.6.4 16-bit DMA (Interna! Memory - 1/0)<br />

Figure 2-3-19 shows the 16-bit DMA (Internal memory -- 1/0) operation.<br />

A DMA2 controls the 16-bit DMA. In this mode, the GAATRF outputs AO signal and<br />

BHE signal. Both AO and BHE signal statuses are always low.<br />

The DMA2' s AO signal is not connected to address bus bit O. Because the AO<br />

signal is output from the GAATRF.<br />

~ •><br />

'"tl<br />

e::<br />

~<br />

~<br />

~<br />

o""i:l<br />

~<br />

to:::l<br />

S j


I<br />

~<br />

~<br />

N<br />

G.... TCK G....UB G.... TRF<br />

• Refer to the-,eetlon<br />

I<br />

I I I<br />

c PU G.... TCB<br />

I I<br />

0<br />

"Zj<br />

:~~e;~O e~~~jt<br />

x13_ I I<br />

~H 0iZl<br />

I I I<br />

"tl<br />

el<br />

~ H"tl<br />

t""<br />

to:l<br />

Cf.l<br />

0 "tl<br />

to:l<br />

• Refer to the seetl0.<br />

for 110 de.lce<br />

aeee.. elrcult<br />

I<br />

XIQWN<br />

G.... rOB<br />

XIORN<br />

I I I l M:~~ J: 11_Thl' '19na1 _s low<br />

when the 1/0 addre..<br />

80H through 9FH are<br />

,eleetad.<br />

I<br />

I • Refer to the ,eet1on<br />

I I<br />

for 1/0 de.l.e<br />

leeess circutt<br />

I 11-~I'--<br />

"'H~<br />

FIGURE 2-3-16. DHA CONTROL CIRCUIT I<br />

(SETnNG OF PAGE REGISTER)<br />

~ ;.


N<br />

I<br />

~<br />

GAATCK<br />

10 GAATM21<br />

I<br />

ino<br />

OMA 1llOd. XAD ';9no1<br />

;s usec! fo, on 1nputr;::::===============~<br />

t .....1n.l ......---/,....1_ I<br />

~ i I<br />

GAATRF<br />

OMAI<br />

8237A -5<br />

AEN<br />

AOSTS OR03<br />

Tölf )<br />

TöYi OROO<br />

·~·::~~) ..I y I~~e~ OACK3<br />

WII<br />

EOP I \<br />

lAO AO OACKO OACKO<br />

\<br />

~" )<br />

ORIOO OPT!rn<br />

OACK3 T<br />

H~OAt-<br />

HRQ<br />

-_.. _._ .. ,<br />

11 I I I I I<br />

CPU<br />

OMA2<br />

I 8237AD~:0~<br />

HRQ~<br />

HLOA I--<br />

DACKOI--<br />

I ~<br />

L.....ft---t-IHRO<br />

~H~DA In 0OMAI llMA2 1nh1b1ts ope"t10n<br />

output IIOdI'j<br />

s 1gools I.elpt HRO<br />

0;9nol.<br />

GAATOB<br />

OPTJON SlOT To<br />

1nter-nl1<br />

RA'"<br />

o<br />

AODRESS<br />

A8<br />

S<br />

A15<br />

® GAA1Ml<br />

o<br />

~<br />

FIGURE 2-3-17. DHA CONTROL CIRCUIT II<br />

(INTERNAL MEMORY (-)<br />

-- 8 BIT DHA<br />

I/O)<br />

~ •><br />

"tl<br />

~<br />

~<br />

~<br />

~<br />

o"I:j<br />

o<br />

"tl<br />

~ i


N<br />

I<br />

.po.<br />

0\<br />

OMA1 Z<br />

GAATCK GAATAB C":l<br />

GAATRF 8237A-5<br />

I ! I<br />

CPU GAATCB<br />

I I I<br />

~<br />

~<br />

0<br />

"z:l<br />

0 "tl<br />

07<br />

tzj<br />

)<br />

00 I<br />

§<br />

0<br />

z<br />

"tl<br />

~<br />

~<br />

GAATIO<br />

GAATDB<br />

507<br />

)<br />

500<br />

... L5245<br />

.Mll7<br />

)<br />

MOO<br />

To lnternal llAM<br />

FIGURE 2-3-18. DHA CONTROL CIRCUIT 111<br />

(IRTERNAL MEMORY (-) IRTERNAL MEMORY)<br />

- 8 BIT DHA<br />

s.>


N<br />

I<br />

01><br />

-..I<br />

GAATCK<br />

{<br />

CPU<br />

GAATM'<br />

@<br />

GAATAB<br />

SAO ~".<br />

\ :~ ,<br />

SAI611 dll!"&9aMiad. ,..,<br />

• exc.pt D-lIAM'<br />

; ,refresh lIOde.<br />

GAATCB<br />

\.-1&0<br />

lAI<br />

\<br />

AI' .... SAn'<br />

.... =00: i WIe<br />

@<br />

GAATRF<br />

GAATM'<br />

• In o~~ to output .y.n add~ess to<br />

_ry tha addNSS "AO" 119ft.1<br />

1I kapt o.<br />

AEN<br />

I IADSTB<br />

DMA'<br />

8237A5<br />

j31--


I<br />

.p.<br />

00<br />

2.3.7 Ready Signal Control Circuit<br />

The GAi\TRF controls a wai t cycle insertion. The ,,,ait cycle is executed to allow<br />

adeguate timing margin for internal chips and external chips. Ta reguest. wait<br />

cycle, the GAi\TRF sends an ARDYN (Ready) signal and an AREN-.N (R.eady enable) signal.<br />

The number of wait cycles is fixed except for a 16-bit ROH and a 16-1:üt 1/0 channcl<br />

device. You ean select wait cyeles for the 16-bit RUri and the 16-bit, 1/0 channel<br />

device by using jumper connectors ,]4, ,]5 and J6. But t.he seleetion is available<br />

onJ y for lOt-lHz use.<br />

TABLE 2-3-11. WAIT CYCLES<br />

DEVICE 'ID BE ACCESSED WAIT CYCLES ['IDTAL CYCLES]<br />

6MHzI 8MHz lOMHz<br />

16-bit memory (16-bit bus operation)<br />

DRAM (System memory)<br />

(00000 to 9FFFF)<br />

1 [3]<br />

1 [3]<br />

N<br />

~M<br />

(OEOOOO to OFFFFF &<br />

FEOOOO to FFFFFF)<br />

1 [3]<br />

1 or 2 [3 or 4]<br />

* NOTE 1<br />

r/o channel<br />

(Other range)<br />

1 [3]<br />

1, 2, 3 or 4<br />

[3, 4, 5 or 6]<br />

* NOTE 1<br />

8-bit memory on r/o channel<br />

(8-bit bus operation)<br />

4 [6]<br />

8 [10]<br />

8-bit memory on r/o channel<br />

(16-bit bus operation)<br />

10 [12]<br />

18 [20]<br />

16-bit r/o on r/o channel<br />

(16-bit bus operation)<br />

1 [3]<br />

3 [5]<br />

8-bit r/o on r/o channel<br />

(8-bit bus operation)<br />

4 [6]<br />

8 [10]<br />

8-bit r/o on r/o channel<br />

10 [12]<br />

18 [20]<br />

"tt<br />

~<br />

~<br />

tn<br />

~<br />

o<br />

~<br />

o "tt<br />

~<br />

~~<br />

o z<br />

; .<br />

>


N<br />

I<br />

~<br />

(16-bit bus operation)<br />

* NOTE1: A jumper connector can select wait cycles. Please refer to Table 2-3-12.<br />

TABLE 2-3-12. WAIT CYCLE SELECTION<br />

JUMPER NOMBER<br />

6 5 4<br />

NUMBER OF WAIT CYCLES<br />

EPROM 16-BIT EX'I'ERNAL DEVICE<br />

B-C<br />

* *<br />

A-C<br />

B-C B-C B-C A-C A-C B-C A-C A-C<br />

*<br />

* --- Ignored<br />

1<br />

*<br />

2<br />

*1<br />

* ***<br />

2<br />

3<br />

4<br />

2.3.7.1 Insertion More Wait Cycle<br />

\~hen you want to insert more wait cycles, Please control the IOCHRDY (1/0 channel<br />

Ready) signal. When the IOCHRDY signal is high, a wait cycle will be inserted.<br />

2.3.7.2 Zero Wait Cycle Request<br />

To insf'rt no wait cycle, a OWS signal is provided on the option slot. This signal is<br />

low when active.<br />

~.><br />

"C<br />

~<br />

H"C<br />

~<br />

~<br />

o""i<br />

o<br />

"C<br />

~H<br />

~


I<br />

VI<br />

o<br />

CPU<br />

N<br />

READV<br />

GAATAB<br />

GAATCB<br />

GAATDB<br />

FIGDRE 2-3-20.<br />

GAATRF<br />

GAATMl<br />

GAATIO<br />

GAATM 2<br />

• lilien the low aethe OlIS .1911a1 1. ~t f ..... tho OpUon .lot. tho .alt<br />

eycll 1S not ln,"rtod. (No .alt eycll)<br />

READY SIGNAL CONTROL CIRCUIT<br />

OPT ION)<br />

, 0 OWS SLOT<br />

!<br />

"'d<br />

~<br />

~<br />

~<br />

~<br />

o~<br />

~<br />

i<br />

~ •>


N<br />

I<br />

\Jl<br />

~<br />

2.3.8 Command Delay Signal Control Circuit<br />

Some devices can not allow the standard following time (T) because it is tao<br />

short. The command delay signal control circuit makes adequate timing margin for<br />

these devices.<br />

To execute command delay function, it is necessary to send a CDLY (Command<br />

delay) signal to the GAATCK. The GAATRF outputs a CDLY signal when it detects<br />

the following mode listed in Table 2-3-13 automaticaly.<br />

FIGURE 2-3-21. TIMING BETWEKN ADDRESS SIGNAL AND READ/WRITE SIGNAL<br />

ADDRESS ------v'.<br />

SIGNAL ~<br />

READ/WRITE<br />

SIGNAL<br />

\/<br />

w.<br />

TABLE 2-3-13. CONDITION OF TRE CDLY SIGNAL OU"JPUT<br />

CONDITION OF TRE CDLY SIGNAL OU"JPUT<br />

1<br />

2<br />

3<br />

1NTA mode<br />

1/0 read Iwrite mode<br />

8-bit memory read/write<br />

?j<br />

trJ<br />

-<<br />

ṫJ:I<br />

'"d<br />

~<br />

~<br />

~<br />

o<br />

l'Ij<br />

o<br />

i<br />

"'I:l


N<br />

I<br />

VI<br />

N<br />

, I I 1 51<br />

50<br />

IoI/11l<br />

CPU<br />

GAATAB<br />

(R.f n••)<br />

Dlff n•• bet_n READY slgn.1 .nd COItlAND DELAY<br />

slgn.1<br />

Thes. slgn.15.re<br />

.ontroll.d by COLY<br />

slgn.1 .nd output<br />

.ft.~ 1 O~ 2 • lock<br />

d.1.y fo~ tIIe CPIJ<br />

Input clock "CLK".<br />

Stltus .nd {<br />

Control<br />

slgn.ls<br />

READY<br />

i<br />

mrcßY~<br />

(1) INTA _.<br />

(2) IID ....d. lID Wl"lte<br />

(16 bit o~ e bit __)<br />

(3) .......,. ....d I .......,. Wl"lte<br />

(Onlye bit d••l••)<br />

READY \<br />

CXlItWlD \ 1,-----<br />

GAATCB<br />

~<br />

CXlItWlD DELAY slgn.1<br />

GAATIO GAATM 2<br />

TS TC TC Ts<br />

Sl.50~<br />

(~Bdl<br />

ISulp~~(<br />

CIoIDLY ~""_--1,...... _<br />

READy~.r----<br />

GAATDB<br />

FIGDRE 2-3-22. COMKAND DELAY SIGNAL CONTROL CIRCUIT<br />

"'tl<br />

~<br />

~<br />

~Cf)<br />

o<br />

""i<br />

o "'tl<br />

t"Il<br />

§<br />

o z<br />

!<br />

5.


INT<br />

,<br />

\<br />

DTR<br />

00<br />

DEN<br />

)<br />

t<br />

+,5<br />

INT..H<br />

CAS2<br />

CASI ~/rN<br />

CASO<br />

re~.r<br />

I<br />

,<br />

GAAT<br />

CPU<br />

GAATIO<br />

M/~<br />

.,.. ,...d<br />

I<br />

{VD<br />

'tl<br />

~<br />

V I<br />

~<br />

~<br />

.... f _IR1JI_~- ~ I<br />

NE'"' \<br />

<strong>Al</strong>.S245<br />

@<br />

N<br />

~.><br />

~<br />

I:n<br />

o<br />

~<br />

~ tz:I<br />

~H o!Z<br />

If the data is s.t to tha outout<br />

date bus buffe. registe. of the<br />

IRl4 (1/0 slot )<br />

IRl5 ( .. )<br />

IRJ TRJ ( 110 slot )<br />

IRO 8254 OUTO<br />

IRI 8042 (8742) P24<br />

IR2I-<br />

IR4 IR4 ( H )<br />

IR5 IR5 ( .. )<br />

IR6 ~ IR6 (<br />

H<br />

, H: MASTER IClDE<br />

1119 (1/0 slot )<br />

IRIO ( H )<br />

IRll ( H )<br />

IRl2 ( H )<br />

" L: SLAVE IClDE<br />

2.3.9 Interrupt Control Circuit<br />

This circuit includes two interrupt controllers to support 15 level interrupts.<br />

Two INTCs are connected by a cascade mode.<br />

Figure 2-3-23 shows interrupt control circuit operation.<br />

@4 Yli/JA 0'<br />

Most"r l8042(8742). thls signal go..<br />

8259 A-2 act1ve<br />

INTA IR' IR' ( .. )<br />

I .. lINTA ~/!Ii<br />

GAATC ~ I I "'U<br />

l ~IR<br />

@" v»)))J<br />

InteM"Vllt ••etor<br />

f..... the I..er 7b1ts<br />

of the date bus.<br />

G.... TDB<br />

146818 IRQ<br />

.0217 EAItO't<br />

002" lIUSf<br />

IHLOA•••H ~ SDO<br />

MSTR...H I<br />

others•••L<br />

When the IHTA s1gnal 1s aeth.,<br />

~<br />

W<br />

FIGDRE 2-3-23. INTERRUPT CONTROL CIRCUIT<br />

thls s1gnal go.. 1...


N<br />

I<br />

VI<br />

.l)o<br />

2.3.10 ROM Access Circuit<br />

There are two pairs of ROM sockets. One is used by BIOS ROM. The others are free<br />

sockets for user. We can select ROM socket and ROM size by setting of the jumper<br />

connectors J4, JS, J6 and J7. Functional description of each jumper connector is<br />

explained in CHAPTER 7.<br />

2.3.10.1 Available ROM Types<br />

You can install the following type of ROM chips.<br />

TABLE 2-3-14. AVAILABLE ROM TYPES<br />

ROM TYPE RECOMKENDED ACCESS SPEED JUMP ER. SEl'TIRGS<br />

J4 J5<br />

27128, 2764<br />

200ns or more higher<br />

A-C A-C<br />

27256<br />

200ns or more higher<br />

B-C B-C<br />

"C<br />

~<br />

~<br />

~<br />

o~<br />

o "C<br />

tz:l<br />

~H o2:<br />

;<br />

><br />


N<br />

I<br />

VI<br />

\oll<br />

lOG......T... '<br />

TOGur...B<br />

... 10<br />

50-N<br />

5J-N<br />

5'<br />

50<br />

..."m<br />

... 16<br />

...,<br />

ROYN<br />

CPU<br />

READY<br />

015<br />

00<br />

CP<br />

...)' ?f~i~<br />

AI6 OXA<br />

GAATAB<br />

GAATRF<br />

GAATMI<br />

GATE<br />

LA~CIl<br />

XAI<br />

~<br />

ARENN<br />

... REYN<br />

READY<br />

CONTIlOL<br />

eIRCUlT<br />

GAATCB<br />

._. ••••••••••. ,dd es eSFN Ind CSEN 5la.ll lethe<br />

eSFN CSEN<br />

XAJ-XA16 OFoooo - OFFFFF OEoooo - OEFFFF<br />

FFoooo - FFFFFF FEoooo - FEFFFF<br />

OF8OOO - OFFFFF OFoooo - OF7FFF<br />

XAJ-XA15 FF8000 - FFFFFF FFoooo - FF7FFF<br />

OE8OOO - OEFFFF OEoooo - OE7FFF<br />

FE8000 - FEFFFF FEoooo - FE7FFF<br />

GAATDB<br />

o<br />

FIGDRE 2-3-24.<br />

ROM ACCESS CIRCUIT<br />

~<br />

+5 5.<br />

"'OlS-~<br />

-\V<br />

"tl<br />

~<br />

~<br />

~<br />

~<br />

o":0:1<br />

~<br />

~ i


N<br />

I<br />

VI<br />

0\<br />

2.3.11 D-RAM Refresh Circuit<br />

A timer counter (8254-2) controls refresh interval time. The refresh address is<br />

sent from a 8-bit binary counter in GAATAB. During D-RAM refresh, the CPU<br />

executes a bus hold cycle.<br />

The RFNO (Refresh output) signal is an important signal. From this signal, the<br />

GAATM1 makes a RAD and a RA1 signal (RAS signal). The GAATM2 controls the<br />

refresh address by using this RFNO signal. And more, this signal is used by the<br />

8-bit binary counten as a count-up signal.<br />

Figure 2-3-25 shows the D-RAM refresh control circuit operation.<br />

"tl<br />

~<br />

~<br />

H<br />

~<br />

o<br />

"Zi<br />

~<br />

~H<br />

i<br />

~ •>


N<br />

I<br />

VI<br />

GAATCK<br />

GAATAB<br />

GAATRF<br />

l5590<br />

abil bln.~<br />

RSN I=-=v=:;. 1115_ Counter<br />

$"~Q G GWONI.. I I I<br />

\ CD< C"O<br />

,... 110<<br />

®<br />

GAAT"'2<br />

C PU<br />

GAA TCB<br />

~:::N<br />

HRQ<br />

HLDA<br />

L"'E"'RN<br />

GAA T10<br />

GAATOB<br />

1/<br />

Por +2 B<br />

±:<strong>Al</strong>N<br />

1/0 reg1St.r<br />

61H bit'<br />

Ifmlrnj<br />

10PTlON SLOT)<br />

.....<br />

FIGURE 2-3-25.<br />

D-RAM REFRESH CIRCUIT<br />

GAAT""<br />

WE<br />

These signals always<br />

kept low.<br />

AAM Chip<br />

RA'"<br />

RA'"<br />

~ •><br />

"tl<br />

~<br />

~<br />

~<br />

~<br />

o<br />

~<br />

o<br />

i<br />

"tl


N<br />

J,<br />

00<br />

2.3.12 RAH Parity Check Circuit<br />

In a D-RAM write mode, the GAATM1 generates a parity data. When in D-RAM read<br />

mode, the GAATM1 calculates the memory read data and the parity read data. If a<br />

parity error has occurred, the GAATM1 outputs a PCKN signal. The GAATIO receives<br />

a PCKN signal and makes a NMI (Non maskable interrupt) signal.<br />

Figure 2-3-26 and Figure 2-3-27 show the RAM parity check circuit operation.<br />

~ ~<br />

GAATRF<br />

GAATMI<br />

MPll<br />

MS81256<br />

0'<br />

I'qui'#Glent<br />

01<br />

"P04164<br />

equivaient .'<br />

CPU<br />

~<br />

@<br />

01<br />

MB81256<br />

.,<br />

equivalent<br />

01<br />

"P04164<br />

equivQ'.nt .'<br />

llillQ GAATM2<br />

~<br />

M015~@<br />

MOO<br />

FIGURE 2-3-26. RAH PARlTY CHECK ClRCUIT<br />

(DATA WRlTE MODE)<br />

Iod<br />

~<br />

~<br />

~tn<br />

o<br />

P'Zj<br />

o<br />

Iod<br />

tz:l<br />

§<br />

o<br />

!2i<br />

!<br />

• >


N<br />

I<br />

VI<br />

\Cl<br />

- _.... -- - ~<br />

AATRF GAATMI J<br />

I .....<br />

r--<br />

~CKN ~ 00<br />

L 00<br />

• • ""811256 J'P04164<br />

0' 0'<br />

equivOlent eQUivolent<br />

~ ,,~<br />

Ii; " DI<br />

~~~1Sr<br />

...21-<br />

~ko'r 01<br />

~ 00 L 00<br />

'rni..~ bo<br />

03<br />

0 1 0<br />

FIGURE 2-3-27.<br />

RAH P ARITY CHECK CIRCUIT<br />

(DATA READ KODE)<br />

@<br />

~<br />

;.<br />

Iod<br />

~<br />

~<br />

~cn<br />

o<br />

"Oj<br />

~<br />

l:z:I<br />

~H<br />

~<br />

G<br />

CPU GAATCB<br />

-.!).o.'OO<br />

""'11256 }IP04164<br />

0' 0'<br />

eQuivalet ~uivQlftlt<br />

NMl ~<br />

'---<br />

GUTtO GAA TM2<br />

lc.<br />

N""I !'Cu<br />

@<br />

""811256 ""811464<br />

or la @ or .2<br />

eQu;volent ..qui vo'e"t )<br />

03<br />

r f-<br />

I<br />

IN'.- 00 - 00<br />

GAATDB 110 Raoi,te' I<br />

n<br />

""811256<br />

""811464<br />

.,<br />

.2<br />

or<br />

®<br />

or<br />

eQuivolent<br />

t eQuivolent ~


N<br />

2.3.13 Speaker Control Circult<br />

o<br />

The timer counter controls the speaker.<br />

circuit operaton.<br />

GAATCK GAATAB GAATRF<br />

I<br />

0\<br />

Figure 2-3-28 shows the speaker control<br />

8254-2<br />

i _!GATEZ<br />

C~KZ<br />

EH;]<br />

i IOUTZ<br />

CPU GAA TCB<br />

* ~,&ede~c;":cg::lg~~:rt.<br />

8ltO 01 l/O aeldreSl 61H<br />

(Ti.... "g.UZ" OIlIOFF<br />

contraI blt)<br />

GAATDB<br />

8ltl 01 1/0 addreSl 61H<br />

(Speake~/OFF contraI bl t<br />

O•••OFF 1•••0Il<br />

Speike,<br />

FIGURE 2-3-28. SPEAKER CONTROL CIRCUIT<br />

~<br />

~<br />

~<br />

~<br />

~<br />

CI)<br />

o<br />

'z:l<br />

o<br />

~<br />

t:r.i<br />

~<br />

~<br />

!2:<br />

~<br />

5>


N<br />

~,...<br />

2.3.14 Keyboard Interface Circuit & Other Circuit<br />

A Keyboard controller (8042 or 8742) includes the following functions.<br />

1) Keyboard interface<br />

2) RAM size reading<br />

3) Monitor setting reading<br />

4) Disabling keyboard scan codes<br />

5) Software reset signal generation<br />

6) Address A20 signal control<br />

2.3.14.1 Keyboard Interface<br />

When receiving keyboard data, the 8042 receives keyboard data from test 1 pin.<br />

~en sending a keyboard control command, the 8042 sends command data from P27<br />

p1n.<br />

When the 8042 receives keyboard data, it sends an interrupt request signal from<br />

P24 pin. P26 pin of 8042 outputs control signal of keyboard data transmission.<br />

In detail, (Refer to section 2.2)<br />

2.3.14.2 RAM Size Reading<br />

The 8042 reads the condition of jumper connector J2 on the ANT-RM board.<br />

2.3.14.3 Monitor Setting Reading<br />

The 8042 reads the condition of slide switch SW1 on the ANTA board.<br />

2.3.14.4 Disabling Keyboard Scan Codes<br />

The P17 pin of 8042 inputs the condition of the key cylinder switch. When P17<br />

pin is low, keyboard scan code will be disabled by the 8042 internal circuit.<br />

2.3.14.5 Software Reset Signal Generation<br />

The 8042 outputs software reset signal from P20 p1n.<br />

~.><br />

"tl<br />

~<br />

~<br />

~<br />

~<br />

o"d<br />

o<br />

"tl<br />

E i


N<br />

~<br />

2.3.14.6 Address A20 Signal Control<br />

N<br />

The 8042 outputs A20 control signal (gate) signal to GAATRF.<br />

GAATRF controls A20 signal as below.<br />

TABLE 2-3-15. A20 SIGNAL CONTROL BY GAATRF<br />

~O A20G A20<br />

* ---- DON'! CARE<br />

010<br />

111<br />

* 0 0<br />

'"d<br />

~<br />

1-1<br />

~<br />

~<br />

o<br />

"Zj<br />

~<br />

~ i<br />

~<br />

>


N<br />

~<br />

IJ,)<br />

KID CLK<br />

KID DATA<br />

GAATCK GAATAB<br />

GAATRF<br />

GAATMI<br />

"" ........ ,...<br />

..... AZO<br />

RC<br />

f1<br />

CAZO_ :;<br />

~ AZOG_ r~ AMT-RM bCICI'cl<br />

JZ<br />

0<br />

-=<br />

AZO_- AZO<br />

CPU GAATCB o-r- JRAL<br />

~<br />

GAATlO GAATMZ<br />

.-- YQ~<br />

r- Y I<br />

r<br />

SWI<br />

PI'<br />

~ PI'<br />

8042(8742)<br />

~!y~!y PU f-<br />

PZ& I+-+-<br />

TESTO PZ'<br />

TESTl<br />

I--~<br />

P'Zl I+-Iro:::tJi<br />

~ Pl1<br />

PZO I+-<br />

GAATOB<br />

Key Cylinde. Swltch<br />

l<br />

FIGURE 2-3-29. KEYBOARD INTERFACE AND O'l'1lER FUNCTION CIRCUIT<br />

~.><br />

"tl<br />

~<br />

~<br />

~<br />

o"":l<br />

o<br />

i<br />

"tl


N<br />

I<br />

C7I<br />

~<br />

2.3.15 1/0 Slot Access Signal<br />

Figure 2-3-30 shows the r/o slot access signals.<br />

GAATCK w<br />

cer<br />

SROYN<br />

AEN<br />

HLOA<br />

PWGO<br />

GAATAB<br />

GAATRF ~<br />

1 1<br />

I<br />

SAO~ WSON~ ows;<br />

IROY OMACl &ALE<br />

~<br />

aALEl-<br />

SAI& 10CHROY;<br />

OACKy. r--<br />

AEN;<br />

MSTR<br />

~l .<br />

3<br />

i RESET ORW:<br />

OSC;<br />

RSON ORQO<br />

,. ORQO.1.2.3.S.5.7<br />

OSC<br />

T/C<br />

IOWN.IORN<br />

2'<br />

- EOP J' - OACKO.1.2.3.5.5,7<br />

SCLKI-- lOR<br />

MEMRN<br />

~IOW<br />

MEMWN ~<br />

CLK<br />

OMAC2<br />

~SAO-19<br />

lOl&N I+-<br />

OACK 5, :-. a SOO-15<br />

Ml&N f-ol<br />

CPU GAATCB ORQS, ~<br />

L<br />

~. IRQ J.4,5.5 .7.9 .10,11.12.14.15<br />

-MEMR<br />

~-MEMW<br />

~ lORN,lOWN SA17<br />

~<br />

-IOCS1&<br />

}<br />

-MEMCSI5<br />

\ EOP<br />

©--+oLAI7-Z3<br />

SA" -MASTER<br />

,--.0 saHE<br />

GAATIO ,-POWER GOOO<br />

LAI7<br />

t.....<br />

l-@ IHTC SMEMW<br />

I IR3 ,<br />

SMEHR<br />

LA23 7,<br />

MEMRN 9<br />

MEMWN 12<br />

cgNOl1<br />

::<br />

~ GAATOB<br />

RTC(145818l )<br />

SOlSr<br />

SOO<br />

1/:<br />

I<br />

NOIZ 15'<br />

NOQ<br />

saHEN<br />

I .... .... I....<br />

.... .... .... es<br />

FIGDRE 2-3-30. 1/0 SLOT ACCESS SIGNAL<br />

'"Cl<br />

e:1<br />

~<br />

~<br />

tn<br />

~<br />

o<br />

"z:l<br />

~<br />

tz.l<br />

S<br />

~z<br />

~ •>


REV.A<br />

PRINCIPLES OF OPERATION<br />

2.4 MULTI-FUBCTION ADAPTER (SPFG BOARD) OPERATION<br />

2.4.1 Serial Interface<br />

A 16450 is used as aserial data controller. The XTAL1 terminal receives<br />

1.8432 llilz clock generated by the OSC terminal in the gate array GAATSP.<br />

2.4.1.1 16450 Chip Select Circuit<br />

Chip select signal CS2 for activating the 16450 is applied from the gate array<br />

GAATSP (SCSN signal). There are two kinds of 1/0 addresses which SCSN signal<br />

activates. They are selected by jumper pin J5 and J6. Setting of J5 and J6<br />

are listed in CHAPTER 7.<br />

SCSN<br />

Ir-------I-~es 2<br />

8<br />

SIFO~--oQ_<br />

B<br />

SIF 1t---


PRINCIPLES OF OPERATION<br />

REV.A<br />

2.4.1.3 Data Buffer Direction Control Signal<br />

While the serial or parallel port is read, the DDIR signal generated by the<br />

gate array GAATSP DDIR terminal is kept low.<br />

2.4.2 Parallel Data Control Circuit<br />

The gate array GAATSP<br />

controls parallel data.<br />

2.4.2.1 1/0 Address Selection<br />

There are two kinds of 1/0 addresses on the parallel Data control circuit.<br />

They are selected by jumper pin J3 and J4. Setting of J3 and J4 are listed in<br />

CHAPTER 7.<br />

GAATSP<br />

PIFO<br />

PIFl<br />

C A J4<br />

9<br />

FIGURE 2-4-2.<br />

1/0 ADDRESS SKLECTION<br />

2.4.2.2 Parallel Data Control Circuit Functions<br />

Parallel Data control circuit has the following six functions.<br />

1. Data output circuit<br />

2. Output data read circuit<br />

3. Printer control signal output circuit<br />

4. Printer control signal read circuit<br />

5. Printer status read circuit<br />

6. Interrupt signal control circuit<br />

2-66


HEV.A<br />

PRINCIPLES OF OPERATION<br />

Data Output Circuit<br />

Direetion of data is only from CPlJ to Printer beeause the DIR of ALS245 is<br />

fixed at low level.<br />

GAATSP ALS 245<br />

SD7 SD7 OD7 B A DATA7<br />

) )<br />

r<br />

CI<br />

M-<br />

n<br />

=r<br />

) ~<br />

SDO SDO CLK ODO DATAO<br />

DIR<br />

FIGURE 2-4-3. DATA OUTPUT (PRINTER DATA REGISTER WRITE)<br />

Output Data Read Circuit<br />

The output data for printer ean be read via LS244<br />

S07<br />

\<br />

I<br />

0 0<br />

OLO<br />

soo f-<br />

~:<br />

OS 8 r- .-<br />

o~~ f- r- ~<br />

...........<br />

I-- f- ........<br />

-<br />

~ OE<br />

r"_<br />

f- y Af-<br />

~ Y L Af--<br />

-y S Ar--<br />

-y 2 Af--<br />

-y 4 Af---<br />

=~4~~<br />

-y A<br />

~L<br />

I<br />

I~oo: J<br />

FIGURE 2-4-4.<br />

GAATSP<br />

Printer Control Signal Output Circuit<br />

loeated in the GMTSP.<br />

1 007<br />

f- ~ 000<br />

OU1PUT DATA READ CIRCUIT<br />

~<br />

GAAT5P<br />

bil"<br />

507 507 OIRE<br />

.-- 0511 5LCT IN<br />

'"


PRINCIPLES OF OPERATION<br />

REV.A<br />

Printer Control Signal Read Circuit<br />

----------------------------------,----_.".._-<br />

GAAT5P<br />

50 4 ().-----i504 ...----,<br />

cr-----i50 3<br />

cr----!502<br />

().----!50 1<br />

500 C>----I500<br />

OIRE<br />

05L1<br />

5LCTlN<br />

OINT<br />

INIT<br />

OATF<br />

05 TB ~t-1I--4P------o AU T0 FOx T<br />

~t-1t-1I--4.-----O 5 TROBE<br />

5LN<br />

INI<br />

ATF..-----'<br />

5 TB!4-----'<br />

FIGURE 2-4-6.<br />

PRINTER CONTROL SIGNAL READ CIRCUIT<br />

Printer Status Read Circuit<br />

GAATSP<br />

S07<br />

\<br />

S03<br />

S07 - l t-BSY<br />

BUSV<br />

S<br />

S06 - 2 I- ACK - ACK<br />

4<br />

SOS - 4 I- EOP.<br />

PE<br />

S04 - t-Sl P<br />

SlCT<br />

S03 - G<br />

t- ERR<br />

•<br />

I ADORESS<br />

lJECOOER<br />

I<br />

ERROR<br />

FIGURE 2-4-7. PRINTER<br />

STATUS READ CIRCUIT<br />

Interrupt Signal Control Circuit<br />

-------------~---~.-.~.<br />

JRS<br />

JR7<br />

... ....--<br />

- B<br />

J10<br />

-<br />

C<br />

- ___ A<br />

>'<br />

OJRE<br />

I",I RE')<br />

bit"<br />

ACK<br />

GAATSP<br />

FIGURE 2-4-8.<br />

IlITERRUPT SIGNAL CONTROL CIRCUIT<br />

2-68


REV.A<br />

PRINCIPLES OF OPERATION<br />

1.2 MB PDD<br />

EQUITY II / EPSON PC+' s 1.2MB FDD (SD-581L) can not be installed on EQUITY<br />

111+ / EPSON PC AX. Because FDD control signals are different between EQUITY<br />

II / EPSON PC+'s 1.2MB FDD and EQUITY III+ / EPSON pe AX's 1.2MB FDD.<br />

(Refer to Table 2-4-2)<br />

TABLE 2-4-2. DIFFERENCE BETWEEN 1.2MB PDD OF SD-581L AN» FDl155C/MD5501<br />

PIN NO.<br />

2<br />

4<br />

6<br />

8<br />

10<br />

12<br />

14<br />

16<br />

18<br />

20<br />

22 (*3)<br />

24<br />

26<br />

28<br />

30 (*3)<br />

32<br />

34<br />

OTHER PIN<br />

SD-58lL(*1)<br />

MODE SELECT<br />

DISK CHANGE<br />

DRIVE SELECT 3<br />

INDEX<br />

DRIVE SELECT 0<br />

DRIVE SELECT 1<br />

DRIVE SELECT 2<br />

MOTOR ON<br />

DIRECTION<br />

STEP<br />

WRITE DATA<br />

WRITE GATE<br />

TRACK 00<br />

WRITE PROTECT<br />

READ DATA<br />

SIDE SELECT<br />

READY<br />

GND<br />

FDl155C/MD5501(*2)<br />

MODE SELECT<br />

(NOT USED)<br />

DRIVE SELECT 3<br />

INDEX<br />

DRIVE SELECT 0<br />

DRIVE SELECT 1<br />

DRIVE SELECT 2<br />

MOTOR ON<br />

DIRECTION<br />

STEP<br />

WRITE DATA<br />

WRITE GATE<br />

TRACK 00<br />

WRITE PROTECT<br />

READ DATA<br />

SIDE SELECT<br />

DISK CHANGE<br />

GND<br />

NOTE (*1)<br />

(*2)<br />

(*3)<br />

SD-581L is used in the EQUITY II/EPSON PC+.<br />

FD1l55C and MD5501 are used in the EQUITY III+/EPSON PC AX.<br />

The difference of read/write data between SD-581L and FD1155C/<br />

MD5501 are shown in below.<br />

U1J<br />

I... T '>~. 1. 5T<br />

U<br />

+~2_T~<br />

L<br />

(NORMAL DENSITY MODE)<br />

SD-581L ....•..... T 4 us<br />

FD1155C/MD5501 ... T 3.33 us<br />

(HIGH DENSITY MODE)<br />

SD-581L T 2 us<br />

FDl155C/MD5501 T 2 us<br />

2-69


PRINCIPLES OF OPERATION REV .A<br />

2.4.3 FDD Control Circuit<br />

FDe<br />

GAATFD<br />

B<br />

Cl<br />

°S7<br />

FO 11<br />

~<br />

00 0 A<br />

..... FOIO<br />

es<br />

3F4,3FS<br />

FCSN<br />

(3T/4.375)<br />

WR<br />

AOORESS<br />

RO<br />

OECOOER<br />

H<br />

rq<br />

C<br />

A J 2<br />

ALS245<br />

DIR<br />

IOW IOR<br />

BOIR<br />

FIGDRE 2-4-9. FDD CONTROL CIRCUIT I (FDD (-) CPU)<br />

2.4.3.1 FDD Control Register Access Circuit<br />

There are three kinds of r/o addresses on the FDD control register access<br />

circuit. They are selected by jumper pins J2 and J1. Setting of J2 and J1 are<br />

listed in CHAPTER 7.<br />

2.4.3.2 Interrupt Signal and DHA Request Signal from FDC<br />

,--------------<br />

IRQ'<br />

IH1<br />

FOC (765)<br />

ORQ<br />

"'OfF<br />

OQ.OY<br />

VQ<br />

I bit] I<br />

0ACii I+- CIJICU[T ,~ .,<br />

,-- ORa<br />

ORGZ<br />

T<br />

'CAH-a-<br />

~ CAKH CR!!H<br />

GM1FO<br />

CA( KZ<br />

---,------,------------------------------<br />

FIGURE 2-4-10. INTERRlPT SIGNAL AND DHA REQUEST SIGNAL FROH FDC<br />

2.4.3.3 FDD Control Signals<br />

MFM/FM signal of FDC is not used. MFM/FM is se1ected by a software command.<br />

Disk Change Signal<br />

\V"hen 1/0 address 3F7H or 377H is accessed, low level signal output i8<br />

generated from the 3X7N terminal in the gate array GAATFD, and the disk change<br />

signal from FDD 18 applied to SD7 signal.<br />

2-70


REV.A<br />

PRINCIPLES OF OPERATION<br />

2.4.3.4 Read/Write Circuit<br />

Write circuit<br />

Figure 2-4-11 shows the FDD<br />

data write circuit.<br />

FDC(765)<br />

ClK<br />

ClK<br />

a..OCK<br />

WClK WClK CIRCUIT<br />

AODRESS<br />

ClK DECODER FOl1 00<br />

J 2<br />

WOATA FWO<br />

wo<br />

WRT<br />

PS1<br />

PS1<br />

OATA<br />

(FOO )<br />

PSO<br />

PSO<br />

WE<br />

WE<br />

GAATFD<br />

FIGURE 2-4-11.<br />

FDD DATA WRITE CIRCUIT<br />

* FDD register of the SPFG board can select PC/AX mode and PC/AT mode by<br />

jumper switch (J2).<br />

In case of the pe/XT mode, CLK and WCLK output generated in the gate array<br />

GAATFD is fixed.<br />

Note: When jumper connectors J1 and J2 are set to 0,0, it is impossible<br />

to access the FDD register.<br />

2-71


PRINCIPLES OF OPERATION<br />

REV.A<br />

Read circuit<br />

The VFO<br />

circuit has the following modes.<br />

Data transmission speed<br />

1. SOOK bps mode<br />

2. 300K bps mode<br />

3. 2S0K bps mode<br />

These modes are selected by AO~ DO~ D1~ WR and 3XVN signals.<br />

FDC(765)<br />

VFO<br />

WINOOW WINOOW RAW RO<br />

-<br />

RO OATA - RO<br />

SYNC<br />

. SYNC<br />

C{=:<br />

AO<br />

00<br />

~---. 01<br />

----. WR 3XVN WClK<br />

I'<br />

REAO<br />

OATA<br />

3XVN<br />

WClK<br />

GAATFD<br />

FIGDRE 2-4-12.<br />

FOD DATA READ CIRCUIT<br />

2-72


REV.B<br />

PRINCIPLES OF OPERATION<br />

VFO<br />

circuit<br />

The VFO circuit inputs a RAWRD signal and generates a WINDOW signal which<br />

synchronizes a REWRD signal.<br />

When FDD is not in read operation, VFO circuit synchronizes a WCLK signal.<br />

The VFO circuit will synchronize, whether a weLK signal or RAWRD signal is<br />

selected by SYNC signal.<br />

(Whether the VFO circuit is synchronized \vith lJCLK signal or RAWTD signal is<br />

selected by SYNC signal.)<br />

. When 500Kbps<br />

or 250Kbps,<br />

this signal<br />

becomes active•<br />

VCO<br />

IC<br />

WINOOW o-_f-1 1/2<br />

. EI<br />

f -,<br />

IIIlen JOO


PRINCIPLES OF OPERATION<br />

REV.A<br />

2.4.3.5 Other Functions<br />

Terminator<br />

terminator.<br />

1S attached for all FDDs. There is no need to disassemble a<br />

Because EPSON FDD uses 1K ohm terminator.<br />

(PSON<br />

'OD<br />

HOST ... sv OItIVE A<br />

DRIVE 8<br />

FIGURE 2-4-14.<br />

FDD TERMINATOR FUNCTION<br />

FDD<br />

Special Signal Cable<br />

When changing setting of floppy disk drive number (A or B), it lS not<br />

necessary to change floppy disk drive jumper setting.<br />

You can change the setting of floppy disk drive number by modification of the<br />

connections on the floppy disk drive signal cable.<br />

SPFG BOARD<br />

~--{i$<br />

SPFG BOARD<br />

( ~<br />

DRIVE A<br />

~<br />

DRIVE B<br />

FIGURE 2-4-15.<br />

FDD CABLE SETTING<br />

2-74


REV.A<br />

PRINCIPLES OF OPERATION<br />

If DS1 signal output is generated at the host side, drive A is selected. If<br />

MT1 signal output is generated, motor in drive A is turned on.<br />

If DS2 signal output is generated at the host side, drive B is selected. If<br />

MT2 signal output is generated, motor in drive B is turned on.<br />

FIGDRE 2-4-16.<br />

DRIVE SELECT AND MOTOR ON SIGNAL SlPPLY CIRCUIT<br />

* <strong>Al</strong>ways set the drive select jumper switch to DS1 (Drive Select 1).<br />

OJGNO<br />

GNOep<br />

CHANGE<br />

I<br />

GNOm<br />

DSO 10<br />

GNO 11<br />

DSl 1<br />

GNO 13<br />

DS2 14<br />

GNO<br />

MOTOR ON 15<br />

'--------'-'-:....;..,;:...;.;,...-;:..;.'-116<br />

GND~<br />

I<br />

I<br />

I<br />

I<br />

DISK CHANGE ~<br />

IORlv'1<br />

FIGURE 2-4-17.<br />

FDD SPECIAL SIGNAL CABLE<br />

2-75


PRINCIPLES OF OPERATION<br />

REV.A<br />

Hard Disk Drive Signal Cable<br />

Hard disk drive signal cable lS identical to the floppy disk drive signal<br />

cable. So, when changing the setting of the hard disk drive number (C or D),<br />

it is not necessary to change the hard disk drive jumper setting.<br />

You can change the setting of the hard disk drive number by modifying the<br />

connections of the hard disk drive signal cable.<br />

Remark<br />

Concerning hard disk drive's terminator, they are not identical to the floppy<br />

disk drive. When you install the second hard disk drive, you must remove one<br />

terminator from drive number D.<br />

HOST<br />

DRIVE C<br />

+5V<br />

t ~ 220 ohm<br />

L..c---e-<br />

TERMINATOR SW 330 ohm<br />

ON 7,7<br />

+5V<br />

t>o<br />

1'20~<br />

TE"'INATOR~ 330 ~<br />

OFF<br />

DRIVE D<br />

I<br />

FIGURE 2-4-18.<br />

HARD DI8K DRIVE SIGNAL CABLE<br />

2-76


REV .A<br />

PRINCIPLES OF OPERATION<br />

2.5 KEYBOARD<br />

The keyboard unit has two operation modes. One 1S AT mode, the other 1S XT<br />

mode.<br />

In the AT mode, there are three kinds of key code output mode.<br />

Mode selection is performed by software.<br />

Key code output mode (a)<br />

AT mode ~ Key code output mode (b)<br />

Keyboard unit<<br />

Key code output mode (c)<br />

XT mode<br />

The EQUITY 111+ / EPSON pe AX system uses the key code output mode of the AT<br />

mode.<br />

2.5.1 Block Diagram<br />

The following diagrarn shows keyboard unit block diagram.<br />

<<br />

<<br />

:> CLOCK<br />

:> DATA<br />

-,<br />

DRIVER LED<br />

CPU<br />

,<br />

KEY MATRIX<br />

/<br />

....<br />

MULTIPLEXIER<br />

FIGURE 2-5-1.<br />

KEYBOARD UNlT BlOCK DIAGKAM<br />

2-77


PRINCIPLES OF OPERATION<br />

REV.A<br />

2.5.2. Interface Signal<br />

2.5.2.1 AT mode<br />

The Keyboard data (sent from keyboard) or the keyboard control data (sent from<br />

Host side) are communicatedby using the clock pin and the data signa].<br />

There are two modes in the keyboard interface circuit. One is interface<br />

control mode, the other is data communication mode.<br />

In the interface cont rol mode, the host controls operation mode of the<br />

keyboard to the host or from the host to the keyboard. At this mode, the<br />

keyboard sends clock signal to synchronize between the keyboard operation 2nd<br />

the host side operation.<br />

TABLE 2-5-1.<br />

INTERFACE CORTROL MODE<br />

CLOCK DATA FURcnON<br />

II<br />

L<br />

L<br />

H<br />

H<br />

H<br />

L<br />

L<br />

Keyboard can send Data to Host side<br />

Keyboard can't send Data to Host side<br />

Keyboard prepares receiving Data<br />

Keyboard starts inputting Data<br />

KEYBOARD<br />

CLOCK ...-<br />

DATA<br />

.....<br />

.....<br />

HaST SIDE<br />

CLOCK<br />

DATA<br />

TABLE 2-5-2. DATA COMMUNICAnON MODE (AT MODE)<br />

CLOCK<br />

DATA<br />

Keyboard sends clock signal to synchronize between<br />

keyboard operation and Host side operation.<br />

(1) Keyboard sends Data.<br />

(2) Host sends Data.<br />

KEYBOARD<br />

HaST SIDE<br />

CLOCK<br />

CLOCK<br />

J ,<br />

DATA .... .. DATA<br />

..<br />

2-78


REV.A<br />

PRINCIPLES OF OPERATION<br />

2.5.2.2 XT mode<br />

TABLE 2-5-3. DATA COMKUNICATION MODE (XT MODE)<br />

XT<br />

DATA<br />

Keyboard initializing signal (low active)<br />

Clock signal<br />

Keyboard Data wait signal (low active)<br />

Data signal from keyboard.<br />

2.5.3 Description of Interface Signals (AT mode)<br />

2.5.3.1 Clock<br />

* Synchronous clock for transmitting and receiving keyboard data.<br />

* The clock is generated from the keyboard.<br />

* The transmission of data cannot take place even if the keyboard is ready<br />

to transmit when there is a low level signal (data wait) in the clock<br />

line. (data will be saved in the keyboard buffer)<br />

The keyboard checks the clock line during transmission of data and will<br />

stop transmission when it detects there is a 10w level signal.<br />

2.5.3.2 Data<br />

* Keyboard transmission (keyboard scan code, command code), reception code<br />

(command code) data.<br />

* This is also the transmission request signal from the host.<br />

level signal is detected in the data line, the keyboard is<br />

reception.<br />

Once a 10w<br />

ready for<br />

2.5.3.3 Keyboard Data Output (AT mode)<br />

When the keyboard is ready to transmit data, it checks the c10ck and data<br />

lines for data wait or transmission request. If there are high level signals<br />

in both the clock and data lines, the keyboard determines that transmission is<br />

possib1e and starts transmitting. It checks the c10ck 1ine during<br />

transmission and stops data transmission as soon as it detects a low level<br />

signal.<br />

2-79


PRINCIPLES OF OPERATION<br />

REV.A<br />

2.5.3.4 Keyboard Data Input (AT mode)<br />

When the keyboard detects a low level signal 1n the data line it becomes ready<br />

to receive.<br />

Then the signal in the host clock line drops to a low level below 60 us, which<br />

will stop keyboard transmission.<br />

When the keyboard detects a high level in the clock line, data reception is<br />

performed (counts off each group of 11 bits). After receiving the 10th bit,<br />

the keyboard changes the signal in the data line to low level and receives one<br />

more bit (the stop bit). The low level signal of the 11th bit teIls the host<br />

that the data has been received.<br />

2.5.3.5 Data Transmission Method and Data Format<br />

TADLE 2-5-4.<br />

DATA TRANSMISSION ME'l1IOD AND DATA FORMAT<br />

(1) Transmission method Synchronous serial Synchronous serial<br />

transmission transmission<br />

(2) Transmission rate 9600 BPS '=. 9600 BPS<br />

( 3) Start bit 1 1<br />

( 4) Stop bit 1 1<br />

(5) Data length 8 bit 8 bit<br />

( 6) Parity Odd parity None<br />

CLOCK<br />

OATAI<br />

START<br />

I 00 01 0 Op STOP<br />

~~<br />

Tl 104 us +20%<br />

T2 20 us Min<br />

T3 20 us Min<br />

T4 35 us +20%<br />

FIGURE 2-5-2. KEYBOARD DATA OU'IPUT - AT MODE<br />

2-80


REV .A<br />

PRINCIPLES OF OPERATION<br />

T6<br />

~ ~<br />

CLOCK<br />

c T5 ~<br />

1 OATA ----,...... .&..-__.....<br />

L START 00 01<br />

T5 60 us Min ( Host data output ready time)<br />

T6 5 us Min<br />

FIGURE 2-5-3. KEYßOARD DATA IWUT - AT MODE<br />

CLOCK<br />

T2<br />

...<br />

T4<br />

~<br />

DATA<br />

f....] START 1__D_0 0_l_......._0_2_<br />

Tl<br />

T2<br />

T3<br />

T4<br />

100 - 250 us<br />

104 us +20%<br />

10 us Min<br />

10 us Min<br />

(Oata output possibility<br />

check time)<br />

FIGURE 2-5-4. KEYBOARD DATA OU'JPUT - XT MODE<br />

2-81


PRINCIPLES OF OPERATION<br />

HEV.A<br />

2.5.4 Interface Circuit Specification<br />

sv<br />

2.2K<br />

-----< t-------4..------+-----DATA(CLOCK)<br />

74LS125<br />

equ;valent<br />

~S6P<br />

FIGURH 2-5-5.<br />

IRTERFACE CIRCUIT<br />

2.5.5 Connector P in Explanation<br />

DIN connector<br />

FIGURH 2-5-6. KEYBORAD CORRKCTOR PIK LOCATIOKS<br />

TABLE 2-5-5.<br />

PIK NOMBER.<br />

1<br />

2<br />

3<br />

4<br />

5<br />

KEYBOARD CONNECTOR P IN FUNCTION<br />

SIGNAL NAME<br />

Clock<br />

Data<br />

N.C.<br />

Ground<br />

+5V DC<br />

Ground<br />

2-82


REV .A<br />

PRINCIPLES OF OPERATION<br />

2.5.6 Function Specifications<br />

2.5.6.1 Strake Characteristics<br />

At N key rollover (with diode)<br />

ON<br />

OFF<br />

Keyl--r----------,----------<br />

Key2-------....<br />

Key3-----------...<br />

Data---48}o---e---G--< 30ffX20ff)--<br />

FIGURE 2-5-7.<br />

STROKE CHARACTERISTlCS<br />

2.5.6.2 Typematic Function<br />

A key scan code i8 transmitted as long as a key is depressed. (Transmission<br />

intervals for all keys except the F16 (P ause) key depend on the typematic<br />

rate/delay (command assignment). When any of the other keys are pressed, it<br />

enters a new typematic cycle.<br />

Keyl---<br />

Key2--------------<br />

Data --0(<br />

<<br />

Tl > < T2 '> <<br />

Tl<br />

FIGURE 2-5-8.<br />

TYPEMATlC FUHCTlON<br />

2-83


PRINCIPLES OF OPERATION<br />

REV.A<br />

TABLE 2-5-6.<br />

AT MODE<br />

TRANSMISSION INTERVALS<br />

XT MODE<br />

Tl<br />

TZ<br />

250 - 1000 ms (default)<br />

1/2 - 1/3 sec (default)<br />

500 ms<br />

92 ms<br />

The typematic function will be disabled when a clock line is low level.<br />

2.5.6.3 Keyboard Buffer<br />

When a key is pressed (released) before the code of a key pressed earlier has<br />

been transmitted during tbe key data out phase t<br />

the code of the no<br />

transmitted key is saved in this buffer until it is transmitted.<br />

The buffer can save codes for 16 keys (16 make or break data).<br />

The 17th code will be substituted by an overrun code.<br />

However t no substitution will take place if there ts an overrun code in the<br />

buffer.<br />

Break codes and make codes when a key is depressed will not be lost even<br />

during an overrun.<br />

Hmlever t they will be cancelled by a buffer clear command.<br />

The overrun code is different between mode and mode below.<br />

TABLE 2-5-7.<br />

KEY CODE OU1PUT MODE<br />

AT mode<br />

XT mode<br />

OVERRUN CODES<br />

(a) (b) (c)<br />

FF 00 00<br />

FF<br />

2.5.6.4 Power On Reset (AT mode)<br />

When the power is tUl~ed<br />

on the keyboard logie performs a power on reset.<br />

(1) Following the power on reset t a self-test program is performed.<br />

ROM check sum<br />

. RAH check<br />

self-test program<br />

self-test program<br />

(2) After the test t the keyboard turns off the mode indicator display (3<br />

LED's) and transmits the end code of the self-test program.<br />

"AAR"<br />

"FeH"<br />

operated correctly<br />

operated abnormally (scanning is stopped after<br />

transmission of the end code.)<br />

(3) When the operation normal end code (.AAH) has been transrnitted and<br />

the keyboard detects a low level signal longer than 10 us in the<br />

data line 5 us after from following edge of the stop bit t the<br />

keyboard enters the XT mode.<br />

2-84


REV.A<br />

PRINCIPLES OF OPERATION<br />

(4)<br />

In AT mode, the typematic rate/delay time and key code output mode<br />

will be set according to mode (b).<br />

Rate<br />

Delay<br />

10 .9 CP S (92 ms)<br />

500 ms<br />

Default<br />

Default<br />

2.5.6.5. Initializing (XT mode)<br />

The clock Une is checked in 10 ms cyc1es by keyboard and initializing is<br />

performed as soon as a low level signal is detected.<br />

(1) Key scan memory clear<br />

(2) Buffer (FIFO) clear<br />

(3) Self-test program performed<br />

. ROM sum check<br />

. RAM check<br />

self-test program<br />

self-test program<br />

(4) Transmission of self-test program end code<br />

" A.<strong>Al</strong>I"<br />

"FeH"<br />

Operated correctly<br />

Operated abnormally (scanning is stopped after<br />

transmission of the end code.)<br />

2.5.6.6 Data Wait Function (XT mode)<br />

When the start bit is set, the data line is checked by the keyboard and if a<br />

low level signal (longer than 250 us) is detected in the data 1ine, data<br />

transmission will not take place.<br />

2-85


PRINCIPLES OF OPERATION<br />

REV.A<br />

2.5.6.7 Mode Indicator (3 LEnis) Display (XT mode)<br />

Basic Operation<br />

(1) By pressing the Scroll Lock, Numeric Lock and Gaps Lock keys each<br />

indicator are displayed alternatively (performed after the end of<br />

key code transmission).<br />

(2) <strong>Al</strong>l displays are turned off during power on reset and initializing.<br />

(3) The alternative operation does not take place when the control<br />

(Gtrl) key is pressed.<br />

Lock Key<br />

(Data Out)<br />

ONI<br />

Typematic Out<br />

:·°1 ~<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

. ." .....<br />

I I<br />

Ctrl Key ------------<br />

Indication.J ON ~-----O-N------I ...__<br />

FIGURE 2-5-9. BASIC OPERATION OF MODE IlIDICA'l'OR DISPLAY<br />

Special Operations (For Germany and French)<br />

By pressing the Gaps key, Gaps Lock indicator becomes on (light). By pressing<br />

the shift key, Gaps Lock indicator becomes off (Not light).<br />

Caps Key<br />

Shift Key<br />

Ctrl Key<br />

Typematic Out<br />

· .<br />

,.... ]<br />

· .<br />

: :<br />

.... ..-- ---<br />

-------_I<br />

Caps indication ~<br />

ON ON L<br />

L<br />

FIGURE 2-5-10'. SPECIAL FURCTIONS OF MODE<br />

IlIDICA'l'OR DISPLAY<br />

2-86


HEV.A<br />

PRINCIPLES OF OPERATION<br />

2.5.7<br />

2.5.7.1<br />

Key Scan Code<br />

key Code Output (AT Mode)<br />

In AT mode,<br />

there are three output modes<br />

(a),(b) and (c)<br />

Key code output in mode (a) and (b)<br />

(1) Key code make up<br />

The table below shows the codes allocatted to each key.<br />

TABLE 2-5-8.<br />

KEY CODE KAKE lJP<br />

TITLE KEY POSITION MAKE CODE (*1)<br />

MODE (h) MODE (a)<br />

BREAK CODE (*2)<br />

MODE (h) MODE (a)<br />

General Other x x<br />

Keys A09,B20,AI2 EO + x EO + x<br />

Extension A,D,EI4 - E16 EO + x EO + x<br />

Key -1 BI5<br />

Extension E18 EO + x EO + x<br />

Key -2<br />

Extension Fl4 EO + x EO + x<br />

Key -3<br />

Shift BOO ,Bll x x<br />

Key<br />

Make code<br />

is<br />

substituted<br />

by "FO + x"<br />

"80" is added<br />

to make code<br />

"x" (OR)<br />

Special FI6(Pause) 8 bytes 6 bytes<br />

Key<br />

No key code output<br />

M>TE (*1)<br />

(*2)<br />

Note 1<br />

Note 2<br />

Make code (When key is depressed)<br />

Break code (When key is released)<br />

The "x" code indicates scan code data. (Refer to Table 2­<br />

5-22)<br />

The F14 and 16 keys can generate other codes if used in<br />

combination with other keys. (Refer to section 2.5.7.1)<br />

2-87


PRINCIPLES OF OPERATION<br />

REV.A<br />

(2) Shift function<br />

a) Numeric lock<br />

The table below shows the conditions for setting and releasing<br />

the numeric lock.<br />

TABLE 2-5-9. CONDITION FOR SETTING AND<br />

RELEASING NUMERIC LOCK<br />

Setting When the E17 (Num lock) is pressed and the make code<br />

has been transmitted or when the NUM Lock LED been<br />

lit by a host command while the numeric lock is in<br />

released status .<br />

. Released When the E17 key (Num lock) is pressed and the make<br />

code has been transmitted or when the Num Lock LED<br />

has been turned off by a host command while the<br />

numeric lock is in set status.<br />

Note 1 When the AOO or the A12 key (Ctrl) are pressed, it is not<br />

possible to perform setting or releasing with the Numlock<br />

key. (Setting and releasing cannot be performed even if<br />

the Ctrl key is released first.)<br />

b) Extension Left and Right shift key code transmission<br />

i) The table below shows the key stroke conditions for<br />

transmission of extension left and right shift codes.<br />

TABLE 2-5-10.<br />

KEY STROKE CONDITION<br />

N-LOCK<br />

NOT N.LOCK<br />

N.LOCK<br />

EXTENSION SHIFT SETTING<br />

Extension shift off code is<br />

transmitted when extension key-l<br />

(or extension key-2) is pressed<br />

after the shift key has been<br />

pressed.<br />

In case of pressing extension<br />

key-2, same operation is<br />

performed even though N.LOCK<br />

mode.<br />

Extension Left shift on code is<br />

transmitted when extension key-l<br />

(or extension key-3) is pressed<br />

after the shift key has been<br />

pressed.<br />

In case of pressing extension<br />

key-3, same operation is<br />

performed even though NOT<br />

N.LOCK mode.<br />

EXTENSION SHIFT RELEASE<br />

(1) Extension shift on code<br />

is transmited when extension<br />

key-l (or extension key-2)<br />

is released.<br />

(2) Extension shift on code<br />

is transmitted when other<br />

keys are pressed.<br />

(1) Extension Left shift<br />

off code is transmitted<br />

when extension key-l (or<br />

extension key-3) is released<br />

(2) Extension Left shift<br />

off code is transmitted<br />

when other keys are<br />

pressed.<br />

2-88


REV.A<br />

PRINCIPLES OF OPERATION<br />

ii) Extension left and right shift codes are as folIows.<br />

TABLE 2-5-11. EXTENSION LEFT ABO RIGBT SBIFT CODES<br />

TYPE MODE (b) MODE (a)<br />

Extension Left EO + 12 EO + 2A<br />

Shift on code<br />

Extension Left Eü + FO + 12 EO + AA<br />

Shift off code<br />

Extension Right EO + 59 EO + 36<br />

Shift on code<br />

Extension Right Eü + Fü + 59 Eü + B6<br />

Shift off code<br />

iii) Transmission sequence of left and right codes are as<br />

folIows.<br />

TABLE 2-5-12. TRANSMISSION SEQUENCE OF LEFT ABO RIGBT CODES KEY<br />

CONDITION<br />

Extension Shift Setting<br />

TRANSMISSION SEQUENCE<br />

EXS ----) x<br />

Extension<br />

Shift<br />

Release<br />

Hhen extension<br />

Shift setting<br />

key is OFF<br />

When other keys<br />

are ON<br />

x<br />

----) EXS<br />

EXS ----) x<br />

Note<br />

"x"<br />

"EXS" :<br />

Key data<br />

Extension Left and right shift codes<br />

2-89


PRINCIPLES OF OPERATION<br />

HEV.A<br />

(3) Special operations generated by a combination of keys<br />

a) The codes shown in the table below are transmitted when the F16<br />

(Break) key is pressed while either the Aaa (left Ctrl) or the<br />

A12 (right Ctrl) key is also pressed.<br />

TABLE 2-5-13. TRANSMITTED CODE OF F16(BREAK) KEY<br />

MODE<br />

MODE<br />

(b)<br />

(a)<br />

EO + 7E + EO + FO + 7E<br />

EO + 46 + EO + C6<br />

Note<br />

No code below are transmitted when the F14 (Sys Rq) key is<br />

pressed ~lhile the [A01 (left <strong>Al</strong>t) ~ the A09 (right <strong>Al</strong>t)]<br />

and the [AOa (left Ctrl)~ A12 (right Ctrl)] key or the BOO<br />

(Left shift) and BII (right shift) keys.<br />

(4) Key code output during typernatic operation<br />

<strong>Al</strong>l keys except the F16 (pause) key are typematic keys whose make<br />

codes are transmitted at specified intervals.<br />

TABLE 2-5-14. TRANSMITTED CODE OF F14(Sys Rq) KEY<br />

MODE<br />

WIIEN AOO, A12 KEY OR<br />

WIIEN A01, A09 KEYS ARE<br />

BOO, Bll KEYS ARE DEPRKSSED DEPRKSSES<br />

HAKE BREAK HAKE BREAK<br />

(b) EO + 7C EO + FO + 7C 84 FO + 84<br />

(a) EO + 37 EO + B7 54 D4<br />

2-90


REV.A<br />

PRINCIPLES OF OPERATION<br />

Key Code Output in Mode (c)<br />

(1) Transmission of make codes (when keys are pressed)<br />

A one byte make code is transmitted when a key is pressed (refer to<br />

scan code table).<br />

(2) Transmission of break codes (when keys are released)<br />

The AOO (left Ctrl), the AOI (left <strong>Al</strong>t), the BOO (1eft shift), the<br />

Bll (right shift) and the COO (Caps) keys transmit break codes when<br />

a key is released. The break code is "FO + make code".<br />

(3) Key code output during typematic operation<br />

The A04, A14-A16, BOI-BIO, BIS, COl-C12, DOO-D14, EOO-E13, D20,E13',<br />

C12', Bll', BOO' and D20' keys are typematic keys whose make codes<br />

are transmitted at specified intervals when pressed repeatedly.<br />

(4) The break code transmission keys in (2) and the typematic keys in<br />

(3) above are set during default and all keys can be set with the<br />

commands described below.<br />

2.5.7.2 Key Code Output (XT Mode)<br />

The Key code outputs are performed according to the same conditions that<br />

prevail in key code mode (a) during AT mode (inc1uding shift function).<br />

2.5.8 COMMANDS (AT mode)<br />

2.5.8.1 Commands From the Rost Side<br />

These are commands received by the keyboard which it responds to within 20 ms.<br />

TABLE 2-5-15. KEYBOARD COMMANDS (AT MODE)<br />

COMMAND DATA (HEX) BUFFER CLR LID SET<br />

RESET FF 0<br />

RESEND<br />

FE<br />

TYPEMATIC KEY RESET 1,2 FC,FD 0<br />

TYPEMATIC KEY SET FB 0<br />

ALL KEY TYPEMATIC CONTROL FA-F7 0<br />

SET DEFAULT F6 0<br />

DEFAULT DISABLE FS 0<br />

ENABLE F4 0<br />

SET TYPEMATIC RATE/DELAY F3<br />

READ KEYBOARD ID F2<br />

SET/READ KEY CODE MODE FO 0<br />

ECHO<br />

EE<br />

SET/RESET MODE INDICATORS ED 0<br />

2-91


PRINCIPLES OF OPERATION<br />

REV.A<br />

(1) Reset<br />

The keyboard reeognizes this eornmand with the aeknowledge (ACK)<br />

eommand. When reeeption of the ACK command has been eon f i rmed<br />

(eonfirmation is mode when both the eloek and data line signals<br />

exeeed 500 us high) a reeset operation indentieal with the pmler on<br />

reset is performed. (Exeept for XT mode switching operation)<br />

(2) Resend<br />

The keyboard repeats the transmission of end data transmitted when<br />

reeives aresend eommand.<br />

(3) Set default<br />

The keyboard responds with an ACK eommand and eontinues seanning<br />

after the output buffer has been cleared and the default condition<br />

has been set.<br />

(4) Default disable<br />

The keyboaed stops seanning and exeept that it waits for a eommand<br />

it behaves as during a set default command.<br />

(5) Enable<br />

The keyboard aeknowledges to the host side with and ACK<br />

clears the output buffer. Then starts seanning.<br />

eommand and<br />

(6) Set typematic Rate/Delay<br />

This command is made up of a 2-byte command and parameter.<br />

The keyboard responds to the ACK command, stops scanning and waits<br />

for the parameter. Then the keyboard responds to the parameter with<br />

an ACK command, sets the rate and delay shown in the figure below<br />

and starts scanning (when proceeded as an Enable). If a cornmand is<br />

received instead of a parameter, the eurrent rate remains unchanged<br />

and keyboard stops this command operation, then the new eommand is<br />

performed and scanning starts.<br />

MSB<br />

TABLE 2-5-16.<br />

TYPEKATIC RATE/DKLAY<br />

LSB<br />

RATE<br />

DELAY<br />

2-92


REV.A<br />

PRINCIPLES OF OPERATION<br />

1) DELAY<br />

BIT<br />

DELAY<br />

6 5 (ms)<br />

0 0 250<br />

0 1 500<br />

1 0 750<br />

1 1 1000<br />

2) RATE<br />

BIT RATE BIT RATE<br />

4 3 2 1 0 (cps) 4 3 2 1 0 (cps)<br />

0 0 0 0 0 30.0 1 0 0 0 0 7.5<br />

0 0 0 0 1 26.7 1 0 0 0 1 6.7<br />

0 0 0 1 0 24.0 1 0 0 1 0 6.0<br />

0 0 0 1 1 21.8 1 0 0 1 1 5.5<br />

0 0 1 0 0 20.0 1 0 1 C 0 5.0<br />

0 0 1 0 1 18.5 1 0 1 0 1 4.6<br />

0 0 1 1 0 17.1 1 0 1 1 0 4.3<br />

0 0 1 1 1 16.0 1 0 1 1 1 4.0<br />

0 1 0 0 0 15.0 1 1 0 0 0 3.7<br />

0 1 0 0 1 13.3 1 1 0 0 1 3.3<br />

0 1 0 1 0 12.0 1 1 0 1 0 3.0<br />

0 1 0 1 1 10.9 1 1 0 1 1 2.7<br />

0 1 1 0 0 10.0 1 1 1 0 0 2.5<br />

0 1 1 0 1 9.2 1 1 1 0 1 2.3<br />

0 1 1 1 0 8.6 1 1 1 1 0 2.1<br />

0 1 1 1 1 8.0 1 1 1 1 1 2.0<br />

2-93


PRINCIPLES OF OPERATION<br />

HEV.A<br />

(7) Echo<br />

The keyboard transmits a code "EE" response and continues scanning<br />

(when preceeded as an Enable).<br />

(8) Set/Reset mode indicators<br />

This command is made up of a 2-byte cornmand and an option. The<br />

keyboard responds to the host side with and ACK command and waits<br />

for sending of the option. Then keyboard responds to the option,<br />

sets the indicator and starts scanning (when proceeded as an<br />

Enable). If it receives another command instead of the option, the<br />

indicator condition remains unchanged and the keyboard stops, this<br />

command operation. Then proceeds the new command and starts<br />

scanning.<br />

TABLE 2-5-17.<br />

OPTION REGISTER FüR KEYBOARD<br />

MSB<br />

LSB<br />

SCROLL LOCK<br />

INDICATOR<br />

NUMERIC LOCK<br />

INDICATOR<br />

CAPS LOCK<br />

INDICATOR<br />

(9) Read Keyboard ID<br />

The keyboard responds with the ACK cornmand, transmits a 2-byte data<br />

; "AB" + "83"<br />

2-94


REV .A<br />

PRINCIPLES OF OPERATION<br />

(10) Set/Read key code mode<br />

This command is made up of a 2-byte command an option. The keyboard<br />

responds to the host side with an ACK command stops scanning and<br />

waits for the option. When keyboard inputs option, it responds to<br />

the host side with an ACK command, starts scanning after setting key<br />

code mode or transmission status. (When proceeded as an Enable.)<br />

If it receives another command instead of the option, processing of<br />

this command is cancelled, the new command is proceeded and scanning<br />

starts.<br />

i) Read key code mode starts (option data "00")<br />

The keyboard transmits the current keycode mode status. (1<br />

byte)<br />

K.EY<br />

CODE MODE<br />

(a)<br />

(b)<br />

(c)<br />

TABLE 2-5-18. K.EY<br />

CODE MODE STATUS<br />

TRANSMITTING DATA<br />

01<br />

02<br />

03<br />

ii) Set key code mode (option data : "01"-"03")<br />

The keyboard is set to specified key code mode depending on<br />

the option data.<br />

TABLE 2-5-19. K.EY CODE MODE ON OPTION DATA<br />

OP TION DATA K.EY CODE MODE<br />

01 (a)<br />

02 (b)<br />

03 (c)<br />

(11) Typematic key set<br />

This command is made up of a eommand and an option (Max 4 or 5<br />

bytes). The keyboard responds to this command with an ACK command,<br />

stops scanning and responds to the option with an ACK command. In<br />

the key code mode (c), this command sets the typematic function and<br />

cancels break code transmission for keys waiting for the key scan<br />

codes that correspond to the option data. When the command has been<br />

proceeded, scanning stops and remains in that condition.<br />

(12) Typematic key reset 1 ("FC")<br />

This command releases the typematic function and sets transmission<br />

of the break code for keys waiting for key scan codes corresponding<br />

to option data. Other details are the same as described in the ease<br />

of typematic key set above.<br />

2-95


PRINCIPLES OF OPERATION<br />

REV.A<br />

(13) Typematic key reset 2 ("FD")<br />

This command releases the typematic function and cancels<br />

transmission of the break code for keys waiting for key scan codes<br />

corresponding to the option data. Other details are the same as<br />

described in the case of Typematic key set above.<br />

(14) <strong>Al</strong>l key typematic control<br />

The keyboard responds to this command with an ACK command cancels 01'<br />

sets the typematic function and break code transmission and<br />

continues scanning (when proceeded as an Enable.)<br />

TABLE 2-5-20.<br />

ALL KEY TYPEMATIC CONTROL<br />

COMMAND DATA<br />

TYPEMATIC FURCTION<br />

BREAK CODE TRANSMISSION<br />

REMARKS<br />

FA<br />

F9<br />

F8<br />

F7<br />

Setting<br />

Cancel<br />

Cancel<br />

Setting<br />

Setting<br />

Cancel<br />

Setting<br />

Cancel<br />

Applied for<br />

only key<br />

code mode<br />

(c) •<br />

2.5.8.2 Commands To Host Side<br />

These are commands transmitted to the host side by the keyboard.<br />

TABLE 2-5-21. COMMANDS<br />

COMMAND<br />

Resend<br />

ACK<br />

Overrun<br />

Break Code Prefix<br />

BAT Completion<br />

Echo Response<br />

Read Keyboard ID<br />

Read Key Code Hode<br />

1'0 'l'HE BOST SIDE<br />

DATA (HEX)<br />

FE<br />

FA<br />

** FO<br />

AA<br />

EE<br />

AB + 83<br />

Hode (a) + 01<br />

Hode (b) + 02<br />

Hode (c) + 03<br />

** Key Code Hode (a) •...•• FF<br />

Key Code Hode (b),(c) .. 00<br />

(1) Resend<br />

The keyboard generates aresend command when it receives an invalid<br />

input 01' invalid parity input.<br />

(2) ACK<br />

The keyboard outputs an ACK response for valid inputs that do not<br />

generate echo 01' resend commands. If an interrupt is issued to the<br />

keyboard when an ACK command is being transmitted, transmission i8<br />

terminated and the ne~l command is responded to.<br />

2-96


REV.A<br />

PRINCIPLES OF OPERATION<br />

(3) Break code prefix<br />

This cornmand announces that a key has been released and sends the<br />

first byte of a 2-byte message. (Corresponds to key code mode (b)<br />

and (c).)<br />

(4) Overrun<br />

The overrun character is in the 17th position of the keyboard buffer<br />

and when the buffer becomes full, the character is stacked on the<br />

last code. When this code comes first in the buffer it is output as<br />

an overrun error.<br />

(5) Bat completion code<br />

When BAT is completed normally, the keyboard outputs an "M"<br />

response. "FC" or other code indicates that the keyboard<br />

microprocessor is malfunctioning.<br />

(6) Echo response<br />

This command is transmitted in response to an echo command from the<br />

host side.<br />

(7) Read keyboard ID<br />

This command is transmitted in response to an equipment ID data read<br />

cornmand from the host side.<br />

(8) Read key code mode<br />

This command transmits current keycode mode status in response to a<br />

key code mode read cornmand from the host side.<br />

TABLE 2-5-22.<br />

KEY SCAN CODE LIST<br />

KEYNO. MODE(a) MODE(b) MODE(c) KEY NO. MODE(a) MODE(b) MODE(c)<br />

FOO 01 76 08 EI3(*2) 7D 6A 5D<br />

F02 3B 05 07 E14 EO,52 EO,70 67<br />

F03 34 06 OF E15 EO,47 EO,6C 6E<br />

F04 3D 04 17 E16 EO,49 EO,7D 6F<br />

F05 3E OC IF E17 45 77 76<br />

F06 3F 03 27 E18 EO,35 EO,4A 77<br />

F07 40 OB 2F E19 37 7C 7E<br />

F08 41 83 37 E20 4A 7B 84<br />

F09 42 OA 3F DOO OF OD OD<br />

FI0 43 01 47 DOl 10 15 15<br />

F11 44 09 4F D02 11 ID ID<br />

F12 57 78 56 D03 12 24 24<br />

F13 58 07 5E D04 13 2D 2D<br />

F14 EO,37 EO,7C 57 D05 14 2C 2C<br />

F15 46 7E 5F D06 15 35 35<br />

F16 ** ** 62 D07 16 3C 3C<br />

EOO 29 OE OE D08 17 43 43<br />

EOI 02 16 16 D09 18 44 44<br />

E02 03 lE lE DI0 19 4D 4D<br />

E03 04 26 26 DU lA 54 54<br />

E04 05 25 25 D12 IB 5R 5B<br />

2-97


PRINCIPLES OF OPERATION<br />

REV.A<br />

E05 06 2E 2E Dl3(*3) 2B 5D 5C<br />

E06 07 36 36 D14 EO,53 EO,71 64<br />

E07 08 3D 3D DIS EO,4F EO,69 65<br />

E08 09 3E 3E D16 EO,51 EO,7A 6D<br />

E09 OA 46 46 D17 47 6C 6C<br />

EIO OB 45 45 D18 48 75 75<br />

Ell OC 4E 4E D19 49 7D 7D<br />

E12 OD 55 55 D20 4E 79 7C<br />

El3 OE 66 66 D20' 7E 6D 7B<br />

COO 3A 58 14 B06 31 31 31<br />

C01 1E 1C 1C B07 32 3A 3A<br />

C02 IF 1B 1B B08 33 41 41<br />

C03 20 23 23 B09 34 49 49<br />

C04 21 2B 2B B10 35 4A 4A<br />

C05 22 34 34 Bll 36 59 59<br />

C06 23 33 33 Bll'(*2) 73 51 51<br />

C07 24 3B 3B B15 EO,48 EO,75 63<br />

C08 25 42 42 B17 4F 69 69<br />

C09 26 4B 4B B18 50 72 72<br />

C10 27 4C 4C B19 51 7A 7A<br />

Cll 28 52 52 B20 EO,lC EO,5A 79<br />

C12 IC 5A 5A B20' (*2) 78 63 78<br />

C12' (*1) 2B 5D 53 AOO 1D 14 II<br />

C17 4B 6B 6B A01 38 II 19<br />

C18 4C 73 73 A05 39 29 29<br />

C19 4D 74 74 A09 EO,38 EO,ll 39<br />

BOO 2A 12 12 A12 BO ,1D EO ,14 58<br />

BOO' (*1) 56 61 13 A14 EO,4B EO,6B 61<br />

BOl 2C 1A 1A A15 EO,50 EO.72 60<br />

B02 2D 22 22 A16 EO,4D EO,74 6A<br />

B03 2E 21 21 A17 52 70 70<br />

B04 2F 2A 2A A17' (*2) 7e 68 68<br />

B05 30 32 32 A19 53 71 71<br />

** F16key code mode (a) ; E1,lD,45,E1,9D,C5<br />

mode (b) ; E1,14,77,E1,FO,14,FO,77<br />

For U.S.1\.<br />

For Europe<br />

(*1) keys and (*2) keys are not installIed.<br />

(*2) keys and (*3) key are not installed.<br />

2-98


CHAPTER<br />

3<br />

OPTIONS<br />

TAßlE OF CONTENTS<br />

Section<br />

Title<br />

Page


CHAPTER<br />

4<br />

TROUBLESHOOTING<br />

TABLE OF CONTENTS<br />

Section<br />

4.1<br />

4.2<br />

4.2.1<br />

4.2.2<br />

4.3<br />

4.3.1<br />

4.3.2<br />

4.3.3<br />

4.3.4<br />

4.3.4<br />

Title<br />

SERVICE TOOLS ............................................<br />

TROUBLESHOOTING 4-2<br />

How to use the POD (Power On Diagnostics) 4-2<br />

How to use the MFG Board 4-2<br />

RESPONSE AND INFOR~1ATION FOR ERRORS 4-5<br />

Outl ine e.. • • • • • • • • • • • • • • • • • • • • • • • • • • • • • • 4-5<br />

POD Funct ion s 4-5<br />

Explanation of POD Functions 4-5<br />

Specific Pattern in 34H to 3DH 4-6<br />

Response and Information of Errors 4-6<br />

Page<br />

4-1<br />

LIST OF FIGURES<br />

Fi gu re Title Page<br />

4-1-1 Connection of Service Tools 4-1<br />

LIST OF TABLES<br />

Table<br />

4-1-1<br />

4-3-1<br />

Title<br />

Service Tool Listing 4-1<br />

Responses and Information for Errors 4-7<br />

Page


REV.A<br />

TROUBLESHOOTIBG<br />

4.1 Service Tools<br />

Recornmended service tools are listed in Table 4-1-1 with corresponding EP SON<br />

part numbers; these are also cornmercially available.<br />

BOARD ATRPS UNIT<br />

FIGURE 4-1-1. CONNECTION OF SERVICE TOOLS<br />

TAßLE 4-1-1.<br />

SERVICE TOOL LISTING<br />

TOOLS NO. P ART NO. DESCRIP TrON<br />

ANT-MAC BOARD B778601601 Expansion board for the main control board.<br />

MFG BOARD B77860170I Bus status check board.<br />

CABLE IIE207 B77860I80I Expansion for CN3 of the ATRPS unit •<br />

CABLE IIE208 B77860200I Expansion for CN4 of the ATRPS unit.<br />

CABLE IIE2I0 B77860200I Expansion for DCI of the ATRPS unit.<br />

CABLE IIE2II B778602I0I Expansion for DC2 of the ATRPS unit.<br />

CABLE IIE2I2 B778602201 Expansion for CN3 of the ANTA board.<br />

4-1


TROUBLESBOOTIBG<br />

REV.A<br />

4.2 TROUBLESHOOTING<br />

The BIOS ROMs in the EQUITY 111+ / EPSON PC AX computer system are including a<br />

diagnostic program which will perform the check for internal computer system<br />

automatically.<br />

We call the test program "POD" (Power On Diagnostics).<br />

The POD will output ERROR MESSAGE to the CRT screen or eight LEDs on the MFG<br />

Board if error is occurred in the EQUITY 111+ / EPSON PC AX computer system.<br />

The error message will be useful at repair because we can determine the<br />

probable cause by using this error message.<br />

4.2.1 How to Use the pon (Power on diagnostics)<br />

1. Connect a monitor and a MFG Board to the EQUITY 111+ / EPSON PC AX computer<br />

system.<br />

2. Turn the power switch of the EQUITY 111+ / EPSON PC AX. The POD will start<br />

automatically and check internal circuits step by step automatically.<br />

3. When error is occurred, the error message will appair on the monitor screen<br />

or LEDs of the MFG board.<br />

(The POD does not show good message when no error)<br />

4. Search the 'RESPONSE AND INFORMATION FOR ERRORS' and find the corresponding<br />

probable cause yourself.<br />

4.2.2 How to Use the MFG Board<br />

1. Function of the MFG board.<br />

The MFG board is a service tool which can display data status (data bus<br />

bit 0 to bit 7) on the eight LEDs.<br />

This board can display data status of 1/0 address OOO(H) to FFF(H).<br />

We can select the 1/0 address by using DIP switch.<br />

2. How to set the DIP switch<br />

Each switches of the DIP switch are corresponding to address bus AO to<br />

<strong>Al</strong>l as follows.<br />

DIP<br />

SWITCH 8 7 6<br />

SW2<br />

5 4 3 2 1 4<br />

SW1<br />

3 2 1<br />

CORRESPONDING<br />

ADDRESS <strong>Al</strong>l A10 A9 A8 A7 A6 A5 A4 A3 A2 <strong>Al</strong> AO<br />

* When setting the DIP switch, please use following instance.<br />

4-2


RKV.A<br />

TROUBLESHOOTING<br />

INSTANCE 1<br />

In case of setting the DIP switch to 1/0 address 80H.<br />

DIP<br />

SWITCH 8 7<br />

SW2<br />

6 5 4 3 2 1 4<br />

SWl<br />

3 2 1<br />

CORRESPONDING<br />

ADDRESS<br />

SETTING OF<br />

THE DIP SW<br />

<strong>Al</strong>l A10 A9 A8 A7 A6 A5 A4<br />

ON ON ON ON OFF ON ON ON<br />

A3 A2 <strong>Al</strong> AO<br />

ON ON ON ON<br />

VALUE OF<br />

ADDRESS BUS o 000<br />

( 0)<br />

1 0 0 0<br />

(8)<br />

o 0 0 0<br />

(0) =080H<br />

INSTANCE 2 In case of setting the DIP switch to 1/0 address l77H.<br />

DIP<br />

SWITCH 8 7<br />

SW2<br />

6 5 4 3 2 1 4<br />

SWl<br />

3 2 1<br />

CORRESPONDING<br />

ADDRESS <strong>Al</strong>l A10 A9 A8 A7 A6 A5 A4 A3 A2 <strong>Al</strong> AO<br />

SETTING OF<br />

THE DIP SW ON ON ON OFF ON OFF OFF OFF ON OFF OFF OFF<br />

VALUE OF<br />

ADDRESS BUS 000<br />

(1)<br />

1 o 1 1<br />

(7)<br />

1 o 1 1<br />

(7)<br />

1<br />

=l77H<br />

4-3


TROUBLESBOOn}l;<br />

REV.A<br />

3. How to use the MFG board<br />

Instail the MFG board to an option slot connector of the computer<br />

system.<br />

4. Meaning of the LEDs<br />

* When the LED Iight t corresponding data bus is high status.<br />

MFG<br />

BOARD<br />

LED NO. MEANING<br />

LED 1 DATA BUS BIT 7<br />

LED 2 DATA BUS BIT 6<br />

LED 3 DATA BUS BIT 5<br />

LED 4 DATA BUS BIT 4<br />

LED 5 DATA BUS BIT 3<br />

LED 6 DATA BUS BIT 2<br />

LED 7 DATA BUS BIT 1<br />

LED 8 DATA BUS BIT 0<br />

4-4


REV.A<br />

TROUBLESHOOTIBG<br />

4.3 RESPONSE AND INFORMATION FOR ERRORS<br />

4.3.1 Outline<br />

The power on diagnostics (called POD) of EQUITY 111+ / EPSON PC AX ROM BIOS<br />

diagnoses the system status held when EQUITY 111+ / EPSON PC AX is initiated<br />

and takes action according to the status.<br />

4.3.2 POD Functions<br />

POD<br />

has the following four functions:<br />

1; POD halts the system to disable initiation if POD detects a serious error<br />

while checking system hardware.<br />

2; If POD detects an error that is not fatal to the system, POD displays the<br />

error message on the CRT screen and 1/0 address 80H. Then makes the system<br />

wait for initiation until a specific key is pressed.<br />

3; If a serious error occurs (refer to function 1), POD displays an error<br />

message on the CRT screen in addition to 1/0 address 80H.<br />

4; In reference to a non fatal error (function 2), POD skips the specific key<br />

input wait status.<br />

4.3.3 Explanation of the POD Functions<br />

1; Checking system hardware (serious error)<br />

See the table 4-2. (Responses and information for errors)<br />

2; Checking system hardware (not fatal)<br />

See the table 4-2. (Responses and information for errors)<br />

The specific key is the F1 key.<br />

3; Displaying an error message on the CRT screen and 1/0 address 80H.<br />

See the table 4-2.<br />

(Responses and information for errors)<br />

4; Skipping specific key input wait status<br />

The key input wait request for an error specified in CMOS RAM 3EH and 3FH is<br />

skipped if a specific pattern is defined in CMOS RAM 34H to 3DH.<br />

4-5


TROUBLESBOOTING<br />

HEV.A<br />

4.3.4 Specific Pattern in 34H to 3DH<br />

Pattern<br />

Specification in 3EH and 3FH<br />

Each bit has the meaning listed below. Input-wait operation is skipped if a<br />

bit is O. Input-wait operation is allowed if a bit is 1.<br />

- Meaning of each bit<br />

Bit 0<br />

Bit 1<br />

Bit 2<br />

Bit 3<br />

Bit 4<br />

Bit 5<br />

Bit 6<br />

Bit 7<br />

RAM check error<br />

CPU VIRTUAL test error (not occur in BIOS ROM Ver.1.02)<br />

RTC error (corresponds to an error other than '163-time and date not<br />

set')<br />

RTC time error (corresponds to '163-time and date not set')<br />

CRT DIP switch setting error or controller error<br />

Keyboard error<br />

FDD error<br />

HDD error<br />

- CMOS 3FH<br />

Bit 0: X287 setting error (corresponds to '162-time system options not set')<br />

Bit 1 : Key locked status<br />

Others : Reserved (set to 1)<br />

4.3.4 Response and Information of Errors<br />

The table shows response and information related to errors detected by POD.<br />

An unfixed value is stored at 1/0 address 80H if continue is indicated for<br />

operation.<br />

4-6


t<br />

PORT<br />

80<br />

01H<br />

04H<br />

05H<br />

06H<br />

07H<br />

or<br />

08H<br />

PROBABLE<br />

CAUSE<br />

CPU<br />

BIOS ROM<br />

GAATIO<br />

146818<br />

(RTC)<br />

8254<br />

(Timer<br />

counter)<br />

TABLE 4-3-1. RESPONSE MilD INFORMATION FOR ERRORS<br />

SOLUTION<br />

EXPLANATION<br />

Remove then reinsert<br />

or replace<br />

CPU<br />

Remove then reinsert<br />

or replace<br />

BIOS ROM<br />

Replace GAATIO<br />

Replace RTC<br />

Replace 8254<br />

* CPU CHECK<br />

The protection enable (PF) bit in<br />

the CPU machine status<br />

ward (MSW) was 1 instead of o.<br />

(MSW should be 0)<br />

* BIOS ROM CHECK<br />

An error occurred in BIOS ROM<br />

check sum<br />

* DMA PAGE REGISTER CHECK<br />

An error occurred during DMa page<br />

register check operation.<br />

* RTC REGISTER CHECK (Changing from<br />

protect mode to real mode check)<br />

An error occurred during RTC C-MOS<br />

RAM area OFH check operation.<br />

Checking is performed by setting<br />

and verifying each bit<br />

sequentially.<br />

* RTC C-MOS RAM OF(H):<br />

Shut down status byte<br />

* TIMER COUNTER CHECK (Refresh<br />

function)<br />

An error occurred during timer<br />

counter 1.<br />

-For 07H<br />

(1 is written in each bit for of<br />

the count register and counting<br />

is started)<br />

An error occurred when checking<br />

that the all upper four bits of<br />

the down counter become zero.<br />

ERROR MESSAGE<br />

OUTPUT TO CRT<br />

None<br />

None<br />

None<br />

None<br />

None<br />

NEXT<br />

OPERATION<br />

HALT<br />

HALT<br />

HALT<br />

HALT<br />

HALT<br />

~<br />

><br />


t<br />

-For 08H<br />

(0 is written in each bit of the<br />

count register and counting is<br />

started)<br />

An error occurred when checking<br />

that the upper four bits of the<br />

down counter become 1.<br />

----------------------------------------------------------------------------------------------------------<br />

09H<br />

or<br />

OAR<br />

OBH<br />

or<br />

OCH<br />

GAATrO<br />

8237A5<br />

(DMAC)<br />

Replace GAATI0<br />

Replace 8237 A5<br />

* REFRESH DEFECT BIT (r/o PORT)<br />

CHECK<br />

-For 09H<br />

1/0 port 61H bit4 could not be<br />

set to O.<br />

-For OAR<br />

r/o port 61H bit4 could not be<br />

set to 1.<br />

* r/o port 61H:<br />

Refresh detect bit (Half of the<br />

refresh signal frequency is<br />

indicated by this bit)<br />

* DMA REGrSTER CHECK<br />

-For OBH<br />

An error occurred during<br />

checking the DMA controller 1<br />

register.<br />

-For OCH<br />

An error occurred during<br />

checking the DMA controller 2<br />

register.<br />

None<br />

None<br />

HALT<br />

HALT<br />

11H RAM<br />

and<br />

error<br />

bit<br />

pattern<br />

Replace RAM * RAM (Base 64KB) CHECK<br />

An error occurred during checking<br />

the base 64K-byte RAM.<br />

LED indicates the following data<br />

repeatedly.<br />

000000 xxxx 201 HALT<br />

Error bit pattern<br />

(1) 11h(1 second)<br />

(2) Error bit pattern (1 second)<br />

(Upper byte)<br />

~<br />

~<br />

~<br />

~<br />

~<br />

g<br />

>


t<br />

(3) Error bit pattern (1 second)<br />

(lower byte)<br />

* DETEMING THE FAULTY RAM LOCATION.<br />

The RAM corresponding to the bit<br />

of which LED is on is faulty.<br />

(Example)<br />

When Upper byte 00000000<br />

Lower byte 00001000,<br />

RAM corresponding to bit3 at<br />

location ISA is faulty (When<br />

ANT-RM circuit board unit number<br />

Y12620300000 is used.)<br />

If no error is detected during RAM<br />

check but an error is detected<br />

during parity check, LED indicated<br />

error message as folIows:<br />

(1) llR (1 second)<br />

(2) OOR (1 second)<br />

(3) OOR (1 second)<br />

In this case, the error bit<br />

pattern is not indicated.<br />

(Note)<br />

An error message is also<br />

displayed on the CRT screen. The<br />

faulty RAM is detemined as folIows:<br />

000000 xxxx 201<br />

Error bit pattern<br />

;<br />

• ><br />

~<br />

~<br />

~<br />

§<br />

~


~<br />

I<br />

~<br />

0<br />

~ (Example)<br />

When the error bit pattern is ADCE:<br />

A B C D Cf)<br />

1010 1101 1100 1110<br />

~<br />

RAMs corresponding to bits 1, 2, ~<br />

3,6,7,8,10, ll, 13 and 15 are ~<br />

faulty.<br />

16H<br />

8042<br />

(8742)<br />

Replace 8042 (8742)* KEYBOARD CONTROLLER REGISTER CHECK<br />

The IBF bit in the 8042 (8742)<br />

status register was not cleared<br />

even after a fixed time elapsed.<br />

* If the IBF bit is on, the 8042<br />

(8742) data bus buffer contains<br />

data. This IBF bit is automatically<br />

cleared by the 8042 (8742)<br />

internal probram.<br />

None<br />

HALT<br />

17H<br />

8042<br />

(8742)<br />

Replace8042 (8742)* KEYBOARD CONTROLLER SELF-CHECK<br />

The normal termination code (55H)<br />

was not returned when the keyboard<br />

controller seIftest program was<br />

executed.<br />

(Note)<br />

The keyboard controller seIftest<br />

differs from the keyboard unit<br />

seIftest.<br />

None<br />

HALT<br />

18H<br />

8042<br />

(8742)<br />

Replace 8042 (8742) * DATA TRANSMISSION CHECK BETWEEN<br />

KEYBOARD CONOROLLER AND CPU<br />

The IBF bit in the 8042 (8742)<br />

status register was not cleared<br />

even though a fixed time elapsed<br />

after a command was sent to the<br />

8042 (8742) data bus buffer.<br />

* The IBF bit in the 8042 (8742)<br />

status register is on when the<br />

None<br />

HALT<br />

~.>


tt-'<br />

t-'<br />

22H<br />

23H<br />

25H<br />

II<br />

GAATIO<br />

8259<br />

Replace GAATIO<br />

Rep lace 8259<br />

data bus buffer contains command<br />

or data. The IBF bit is<br />

automatically cleared by the 8042<br />

(8742) internal program.<br />

* DMA PAGE REGISTOR CHECK<br />

An error was detected while<br />

checking the access to DMA page<br />

register (83, 84H)<br />

Folling two check operations are<br />

performed:<br />

(1) 55AAH is written as data in<br />

above port.(83,84H) The data is<br />

read by and the written data with<br />

read data.<br />

(2) 55AAH is written in avobe (83,84H)<br />

port byte mode.<br />

Then written data is read, and the<br />

written data is compared with the<br />

read data.<br />

This error is indicated if the<br />

written data was not equal to the<br />

read data.<br />

106-System<br />

board error<br />

* INTERRUP CONTROLLER AND INTERRUP T<br />

MASKING CHECK<br />

For 23H:<br />

An error was detected in the<br />

interrupt mask register of the<br />

master interrupt controller.<br />

Checking is done writing and<br />

verifying 00 and FF in the<br />

interrupt mask register.<br />

For 24H:<br />

An error was detected in the<br />

interrupt mask register of the<br />

slave interrupt controller.<br />

Checking is the same as the<br />

explained for 23H.<br />

101-System<br />

board error<br />

HALT<br />

HALT<br />

m<br />

5><br />

~<br />

~<br />

~<br />

~<br />

i


~<br />

I<br />

~<br />

N<br />

26H<br />

or<br />

27H<br />

28H<br />

8254-2<br />

8259A-2<br />

8254-2<br />

8259A-2<br />

Rep1ace 8254-2 or<br />

8259A-2<br />

Rep1ace 8254-2 or<br />

8259A-2<br />

For 25H:<br />

An interrupt occurred even though<br />

all bits in the interupt mask<br />

register were on.<br />

Checking is done in the fo110wing<br />

order:<br />

-FFH is set in the interrupt<br />

mask registers of the master and<br />

slave interrupt controllers.<br />

-Whether an interrupt is<br />

ckecked by software STI command.<br />

* TlMER SPEED CHECK<br />

An error was detected in timer<br />

controller counter O.<br />

For 26H:<br />

It is set so that interrupt<br />

occurs after 60usec from the<br />

start of counter.<br />

This error is indicated if an<br />

interrupt does not occur during<br />

the 90usec from the start of<br />

counter.<br />

For 27H:<br />

It is set so that an interrupt<br />

occurs after 200usec from the<br />

start of counter.<br />

This error is indicated if an<br />

interrupt occurs during the 150<br />

usec from the start of counter.<br />

* TIMER COUNTER INTERRUPT CHECK<br />

This error is indicated if a<br />

counter 0 interrupt set by the<br />

operation exp1ained for 27H does<br />

not occur at 200usec or after<br />

200usec.<br />

102-System<br />

board error<br />

103-System<br />

board error<br />

HALT<br />

HALT<br />

~<br />

~<br />

~<br />

~<br />

~<br />

&.


2AH<br />

2BH<br />

8254-2<br />

RAM,<br />

GAATIO<br />

option<br />

board<br />

Replace 8254<br />

Replace the RAM,<br />

GAATIO, or option<br />

board<br />

* TIMER COUNTER 2 CHECK<br />

55AAH is written in timer<br />

controller counter 2, the counter<br />

is read, and the written data is<br />

compared with the read data.<br />

This error is indicated if the<br />

written data is not equal to the<br />

read data.<br />

* NMI CIRCUIT CHECK<br />

This error is indicated if an NMI<br />

occurs during the next 400us after<br />

NMI is allowed.<br />

During the 400usec, CPU does not<br />

access to RAM. So, if this error<br />

is occured NMI circuit has problem.<br />

(Ref) NMI occurs under one of the<br />

following conditions:<br />

(1) A RAM parity check error occurs.<br />

(2) An 1/0 channel error occurs.<br />

108-System<br />

board error<br />

lOS-System<br />

board error<br />

HALT<br />

HALT<br />

2CH 8042<br />

(8742)<br />

Replace 8042 (8742) * KEYBOARD CONTOLLER STATUS<br />

REGISTER CHECK<br />

The IBF bit in the 8042 (8742)<br />

status register was not cleared<br />

even after a fixed time elapsed.<br />

* Checking is done in the same way<br />

as l6H.<br />

lOS-System HALT<br />

board error<br />

----------------------------------------------------------------------------------------------------------<br />

2EH, CPU Remove them reinsert<br />

or replace The protection enable (PE) bit in<br />

* ADDRESS LINE (A19 TO A23) CHECK<br />

None HALT<br />

36H,<br />

OR<br />

CPU<br />

THE CPU MACHlNE STATUS WARD (MSW)<br />

3BH<br />

REGISTER WAS TURNED ON BUT THE BIT<br />

WAS OFF WHEN VERIFIED.<br />

----------------------------------------------------------------------------------------------------------<br />

w<br />

t<br />

'"'"<br />

;<br />

• ><br />

~<br />

G §<br />

~


....<br />

I<br />

~<br />

~<br />

31H<br />

or<br />

32H<br />

( 38H)<br />

Unfixed<br />

value<br />

GAATM1<br />

GAATM2<br />

REPLACE GAATM1 OR<br />

GAATM2<br />

* ADDRESS LINE (A19 TO A23) CHECK<br />

An error occurred while checking<br />

address lines 19 to 23.<br />

*Checking address lines method.<br />

(1) FFFFH is written in address<br />

000000<br />

(2) OOOOH is written in the<br />

following addresses:<br />

080000<br />

100000<br />

200000<br />

400000<br />

800000<br />

(3) When the data stored in None<br />

address 000000 is FFFFH, address<br />

lines A19 to A23 are detemined as<br />

normal.<br />

If value of address 000000 is not FFFFH,<br />

address line (A19 to A20) has problem.<br />

RAM Replace RAM * RAM SIZE CHECK<br />

One of the following errors was<br />

detected during the checking of<br />

the RAM size:<br />

(1) Bad RAM<br />

(2) A parity error<br />

* An error address and error bit<br />

pattern are displayed on the CRT<br />

screen.<br />

(1) If a bad RAM is detected:<br />

An error address and an<br />

error bit pattern are displayed<br />

on the CRT screen as folIows:<br />

xxxxxx **** 202-Memory address error<br />

xxxxxx Error address<br />

202-Momory<br />

address error<br />

HALT<br />

CONTINUE<br />

~<br />

~ §<br />

~<br />

~.>


t~<br />

I.n<br />

(3AH)<br />

Unfixed<br />

value<br />

(Address)<br />

If an address from OOOOOOH to<br />

07FFFFH is indicated, there is an<br />

error in the 256K-bit RAM on the<br />

ANT-RM circuit board.<br />

If an address from 080000H to<br />

09FFFFH is indicated, there is an<br />

error in the 64K-bit RAM on the<br />

ANT-RM circuit board.<br />

If an address from 100000H to<br />

FDFFFFH is indicated, there is an<br />

error in the RAM on the option<br />

slot.<br />

(Pattern)<br />

This is same as the one<br />

explained for 11H.<br />

Please see "Note" in the item 11H.<br />

(2) For a parity error<br />

Data is indicated on the CRT<br />

screen as foliows:<br />

xxxxxx 0000 202-Memory address error<br />

--- Parity check 1 or 2<br />

1: RAM parity error (Internal circuit)<br />

2: 1/0 channel parity error<br />

(Extension Memory card)<br />

xxxxxx ---- Error address<br />

0000 ---- <strong>Al</strong>l zeros are displayed<br />

* ADDRESS LINE (A16 TO A23) CHECK<br />

One of the folowing errors was<br />

detected during checking the<br />

address line:<br />

(1) Address line (A16 to A23) error<br />

(2) Parity error<br />

203-Memory<br />

address error<br />

CONTINUE<br />

~.><br />

~<br />

~<br />

~<br />

§<br />

~


tI-'<br />

0'1<br />

(3EH) Keyboard<br />

Unfixed unit or<br />

value 8042<br />

(8742)<br />

Replace the<br />

keyboard unit or<br />

8042 (8742)<br />

(3FH) Keyboard Replace the<br />

unit, Keyboard,<br />

8042(8742),8042(8742), or<br />

or ANTA ANTA circuit board<br />

circuit<br />

board<br />

* Checking address lines<br />

a) Check data is written in the RAM<br />

specified at the start address of<br />

block (64K bytes) as shown in the<br />

above figure.<br />

b) The written data is verified<br />

after the data is written in all<br />

of the blocks.<br />

c) This error is indicated if an<br />

error is detected during<br />

verfication explained in b.<br />

* An error address and read data are<br />

displayed on the CRT screen.<br />

* Error message explantion<br />

(1) Address line error<br />

xxxxxx **** 203-Memory address error<br />

xxxxxx ---- Error address<br />

**** ---- Read data (Not accordant data)<br />

xxxxxx **** 203-Memory address error<br />

-- Parity check 1 or 2<br />

1: RAM parity error (Internal circuit)<br />

2: 1/0 channel parity error (Extension<br />

Memory card)<br />

* KEYBOARD CLOCK CHECK<br />

The TO bit (indicating the clock<br />

sent from the keyboard) of the<br />

test input port in 8042 (8742) was<br />

not turned low.<br />

304-keyboard<br />

or System<br />

Unit error<br />

* KEYBOARD INTERFACE CIRCUIT CHECK 30 I-KEYBOARD<br />

Before this check, the POD execute error<br />

keyboard unit self-test. Next, the<br />

POD execute keyboard interface circuit<br />

check. When keyboard interface circuit<br />

has no error, this error will indicate.<br />

CONTINUE<br />

CONTINUE<br />

!<br />

~<br />

§~<br />

!<br />

s.>


,...<br />

I<br />

.....<br />

.t:'­<br />

(3FH)<br />

Unfixed<br />

value<br />

8042<br />

(8742)<br />

(42H) DJP<br />

Unfixed switch<br />

value setting<br />

Rep lace 8042<br />

(8742)<br />

Set the DIP<br />

switch correctly.<br />

SOt when this error message is indicated.<br />

In this case t the code output repeatedly<br />

is displayed on the CRT screen.<br />

This error is also indicated if a<br />

code is output repeatedly from the<br />

keyboard.<br />

* KEYBOARD INTERFACE CIRCUIT CHECK<br />

This error is indicated if a<br />

response to the interface line<br />

test command output during keyboard<br />

interface circuit check (explained in<br />

the previous section) is not returned<br />

or the response indicates an error.<br />

* CRT SLIDE SWITCH SETTING CHECK<br />

The slide for setting the monitor<br />

did not match with the mounted<br />

video card.<br />

If 40l-CRT error is displayed on<br />

the CRT screen t the monochrome<br />

monitor was set by the switch but<br />

the video card indicated the color<br />

monitor.<br />

If SOl-CRT error is displayed on<br />

the CRT screen t the color monitor<br />

was set by the switch but the<br />

video card indicated the<br />

monochrome monitor.<br />

303-CRT error<br />

or System unit<br />

error<br />

40l-CRT error<br />

or<br />

SOl-CRT error<br />

CONTINUE<br />

CONTINUE<br />

~<br />

><br />

~<br />

~<br />

I<br />

~


ṭ<br />

00<br />

...<br />

(42H) Lithium<br />

Unfixed battery<br />

value<br />

(42H) RTC<br />

Unfixed (146818)<br />

value setting<br />

error<br />

(42H) Setting<br />

Unfixed error<br />

value<br />

(42H) RTC<br />

Unfixed (146818)<br />

value<br />

(43H) FDD,<br />

Unfixed SPFG<br />

value circuit<br />

board,<br />

or WHDC<br />

circuit<br />

board<br />

Replace the<br />

lithium battery<br />

and perform<br />

'set-up'<br />

Replace or<br />

correctly set RTC<br />

(146818)<br />

and perform 'set-up'<br />

P reform sett ing<br />

correctly<br />

Replace RTC<br />

(146818)<br />

1) Replace FDD<br />

2) Mount one or<br />

both of the SPFG<br />

and WHDC circuit<br />

boards if it was<br />

not mounted.<br />

3) Replace the<br />

SPFG or WHDC<br />

circuit board<br />

and perform 'setup'<br />

* RTC POWER F<strong>Al</strong>LURE CHECK<br />

RTC power failure was detected.<br />

This error is indicated if the VRT<br />

bit in RTC (146818) control<br />

register D is O.<br />

* RTC SETTING CHECK AND RTC CHECK-SUM<br />

An error occurred in RTC check sum<br />

or the RTC contents did not match<br />

with the mounted hardware.<br />

* RAM SIZE CHECK<br />

This error is indicated if the<br />

value in the mounted RAM differs<br />

from RTC setting.<br />

* TIME AND DATE CHECK<br />

This error is indicated if the RTC<br />

control register was read but<br />

processing did not enter update in<br />

progress status, or updqte in<br />

progress status could not be<br />

cleared.<br />

* FDD CHECK<br />

(1) An error was returned when FDC<br />

was reset (INT 13H reset<br />

function).<br />

(2) FDD was normally reset (as a<br />

result of the above checking) but<br />

an error was returned during head<br />

seek test (only drive A was<br />

checked).<br />

(3) A card equivalent to IBM COMBO<br />

card did not install(the error<br />

occurs if SPFG or WHDC circuit<br />

board is missing).<br />

101-System CONTlNUE<br />

161-System CONTlNUE<br />

options not set<br />

CHECK<br />

162-System<br />

options not set<br />

CONTINUE<br />

164-Memory<br />

size error<br />

CONTINUE<br />

163-Time & Data CONTlNUE<br />

not set<br />

601-Diskette<br />

error<br />

CONTINUE<br />

~<br />

~ §<br />

~<br />

;<br />

>


ol:l­<br />

I<br />

t­<br />

\C<br />

(45H) WHDC<br />

Unfixed circuit<br />

value board<br />

(45H) HDD or<br />

Unfixed WHDC<br />

value circuit<br />

board<br />

Rep lace the WHDC<br />

circuit board<br />

Replace the HDD<br />

or WHDC circuit<br />

board<br />

This error is indicated under one<br />

of the above conditions.<br />

* HDC SELF TEST CHECK<br />

An abnormal code was returned<br />

during hard disk controller<br />

selftest.<br />

* HDD CHECK<br />

The hard disk could not be recalibated.<br />

* lf 1780-disk 0 failure is<br />

displayed on the CRT screen, the<br />

CMOS data in RTC is rewritten and<br />

IPL from HDD is disabled.45H<br />

1782-disk<br />

controller<br />

failure<br />

1780-Disk 0<br />

failure<br />

1781-Disk 1<br />

failure<br />

CONRINUE<br />

CONTINUE<br />

----------------------------------------------------------------------------------------------------------<br />

CONTINUE<br />

(45 H) Setting<br />

Unfixed error<br />

value<br />

Perform sett ing<br />

correctly<br />

* MAX CYLINDER, MAX HEAD, MAX SECTOR 1790-Disk 0<br />

READ CHECK error<br />

This error is indicated if the POD 1791-Disk 1<br />

can not read the Max. sector of the error<br />

Max.track which is determined by CMOS.<br />

----------------------------------------------------------------------------------------------------------<br />

CONTINUE<br />

( 48H) ROM CHIP<br />

Unfixed on 1/0<br />

value slot<br />

Rep lace ROM chip * 1/0 ROM CHECK xxxxO ROM<br />

When the POD detect a 1/0 ROM ID, error<br />

the POD execute check-sum for the<br />

1/0 ROM.<br />

This error message will be indicated<br />

on the screen of the CRT.<br />


~<br />

I<br />

N<br />

o<br />

----------------------------------------------------------------------------------------------------------<br />

(49H) RTC<br />

Unfixed (146818)<br />

value<br />

Replace RTC<br />

(146818)<br />

* TIME AND DATE CHECK<br />

A range over error occurred when<br />

hour, minutes, and seconds are<br />

read even though RTC was normal:<br />

1) Power failure was not detected<br />

2) No error in RTC check sumo<br />

3) RTC could enter update in<br />

progress status.<br />

4) RTC could be cleared from<br />

update in progress status<br />

This error is indicated if a range<br />

over error occurs in hours,<br />

minutes, or seconds as folIows:<br />

Hours 23<br />

Minutes 59<br />

seconds > 59<br />

~<br />

~<br />

~<br />

~<br />

(4CH)<br />

Unfixed<br />

value<br />

The lock<br />

was in<br />

locked<br />

status<br />

Unlock the key * LOCK STATUS CHECK<br />

The lock was in locked status.<br />

302-System CONTINUE<br />

unit keylock<br />

is locked<br />

None * P ARITY ERROR CHECK<br />

When a parity error was detedted, Parity check 1 HALT<br />

Entire memory is parity-checked again. or<br />

The address of memory where the Parity check 2<br />

parity error was detected is<br />

indicated with a 5-digit<br />

hexadecimal number. At this time,<br />

a parity error cannot be found,<br />

????? is indicated.<br />

<strong>Al</strong>l error message will be indicated<br />

on screen of CRT.<br />

(Example)<br />

If a parity error occurs in<br />

addresses 512 to 576KB, the<br />

following data is displayed on the<br />

CRT screen: ~.>


.p­<br />

I<br />

N<br />

""'"<br />

Parity check 1<br />

80000 (S)<br />

(S) Segment<br />

A parity error occurred in the<br />

segment placed at address 80000<br />

or later.<br />

;<br />

&.<br />

~<br />

~<br />

~<br />

§<br />

~


CHAPTER<br />

5<br />

DISASSEMBLY AHD<br />

ASSEMBLY<br />

TAßLE OF CONTENTS<br />

Section<br />

5.1<br />

5.1.1<br />

5.1. 2<br />

5.1.3<br />

5.1.4<br />

5.1.5<br />

5.1.6<br />

5.1.7<br />

5.1.8<br />

5.1.9<br />

5.1.10<br />

5.1.11<br />

5.1. 12<br />

5.1.13<br />

5.1. 14<br />

5.1. 15<br />

5.1.16<br />

5.1.17<br />

5.1.18<br />

5.1. 19<br />

5.1. 20<br />

Title<br />

~1AIN UNIT DIASSEt1BLY AND ASSH1BLY<br />

Upper Case Removal ................•....................<br />

Upper Case Rep 1acement •••••••••••••••••••••••••••••••••<br />

Front Panel Removal ••••••••••••••••••••••••••••••••••••<br />

Front Panel Replacement ..<br />

Power Supply Unit (ATRPS Unit) Removal •••••••••••••••••<br />

Power Supply Unit (ATRPS Unit) Replacement .<br />

Optional Circuit Board Removal •••••••••••••••••••••••••<br />

Optional Circuit Board Replacement •••••••••••••••••••••<br />

Disk Drive (HDD or FDD) Removal ••••••••••••••••••••••••<br />

Disk Drive (HDD or FDD) Replacement ••••••••••••••••••••<br />

ANT-~1 Circuit Board Removal •••••••••••••••••••••••••••<br />

ANT-RM Circuit Board Repl acement ..<br />

~1ain (ANTA) Circuit Board Removal .<br />

t1ain (ANTA) Circuit Board Replacement ••••••••••••••••••<br />

ANT-tn Circuit Board Removal ..<br />

ANT-HT Circuit Board Replacement ..<br />

ANT-LS Circuit Board Removal •••••••••••••••••••••••••••<br />

ANT-LS Circuit Board Replacement ..<br />

Speaker Removal .<br />

Speaker Replacement ••••••••••••••••••••••••••••••••••••<br />

Page<br />

5-1<br />

5-1<br />

5-1<br />

5-2<br />

5-2<br />

5-3<br />

5-3<br />

5-4<br />

5-4<br />

5-5<br />

5-5<br />

5-6<br />

5-6<br />

5-7<br />

5-7<br />

5-8<br />

5-8<br />

5-9<br />

5-9<br />

5-10<br />

5-10<br />

5.2<br />

5.2.1<br />

5.2.2<br />

5.3<br />

5.3.1<br />

5.3.2<br />

5.3.3<br />

5.3.4<br />

5.3.5<br />

5.3.6<br />

KEYBOARD UNIT DISASSD1BLY AND ASSEMBLY ••••••••••••••••••• 5-11<br />

Key Cyl inder Unit Removal 5-11<br />

Key Cylinder Unit Replacement •••••••••••••••••••••••••• 5-11<br />

POWER SUPPLY UNIT (ATRPS) DISASSEt1BLY AND ASSn1BLY ....... 5-12<br />

Secondary-side Circuit Board Removal ••••••••••••••••••• 5-12<br />

Secondary-side Circuit Board Replacement ••••••••••••••• 5-13<br />

Fan Unit Removal 5-14<br />

Fan Unit Replacement ••••••••••••••••••••••••••••••••••• 5-14<br />

Primary-side Circuit Board Removal ••••••••••••••••••••• 5-15<br />

Primary-side Circuit Board Replacement ••••••••••••••••• 5-15


Fi gure<br />

5-1-1<br />

5-1-2<br />

5-1-3<br />

5-1-4<br />

5-1-5<br />

5-1-6<br />

5-1-7<br />

5-1-8<br />

5-1-9<br />

5-1-10<br />

5-2-1<br />

5-3-1<br />

5-3-2<br />

5-3-3<br />

Title<br />

LIST OF FIGURES<br />

Page<br />

Upper Case Rernoval/Replacement 5-1<br />

Front Panel Removal/Replacement 5-2<br />

Power Supply Unit Removal /Repl acement 5-3<br />

Optional Circuit Board Removal/Replacement 5-4<br />

Disk Drive Removal/Replacement 5-5<br />

ANT-ro1 Circuit Board Removal/Replacement 5-6<br />

ANTA Circuit Board Removal/Replacement 5-7<br />

ANT-t1T Circuit Board Removal/Replacement 5-8<br />

ANT-LS Circuit Board Removal/Replacement 5-9<br />

Speaker Removal/Replacement ..........•................... 5-10<br />

Key Cylinder Unit Removal/Replacement 5-11<br />

Secondary-side Circuit Board Removal/Replacement 5-12<br />

Fan Unit Removal/Replacement 5-14<br />

Primary-side Circuit Board Removal/Replacement 5-15


RHV .A<br />

DIASSEHBLY AHn ASSEHBLY<br />

5.1 MAn, URIT DISASSEMBLY <strong>Al</strong>m ASSEMBLY<br />

5.1.1 Upper Case Removal<br />

1. Unlock the key (B) to remove the upper case (A).<br />

2. Remove the four screws (C) from the rear.<br />

3. Slide the upper case forward.<br />

4. Remove the upper case by opening the side section (1) in the direction<br />

indicated by the arrows.<br />

(B)<br />

(A)<br />

-)<br />

FIGURE 5-1-1.<br />

lPPER CASE Rml>VALlREPLACEMENT<br />

5.1.2 Upper Case Replacement<br />

1. Fit the upper case over the Iower case.<br />

2. Slide the upper case to the rear.<br />

3. Replace the four screws (C) at the back.<br />

5. Lock the upper case if required.<br />

5-1


DIASSEMBLY AND<br />

ASSEMBLY<br />

HEV.A<br />

5.1.3 Front Panel Removal<br />

1. Remove the upper case.<br />

2. Remove the four screws (A) to remove the front panel.<br />

(<strong>Al</strong><br />

(B)<br />

FIGURE 5-1-2.<br />

FRORT PAREL REMOVAL/RFPLACEMERT<br />

5.1.4 Front Panel Replacement<br />

1. Replace the front panel by attaching the four screws (A).<br />

2. Replace the upper case.<br />

5-2


RKV .A<br />

DIASSEMBLY AND ASSEMBLY<br />

5.1.5 Power Supply Unlt (ATRPS UBIT) Removal<br />

1. Remove the upper ease.<br />

2. Remove the two ANT-MT Board eonneetors (B), and the FDD and HDD power<br />

suppIy eonneetors.<br />

4. Remove the four serews (C) from the rear.<br />

5. Slide the power suppIy unit (D) about 6 em towards the front to elear the<br />

hold-down tabs and remove the unit.<br />

FIGDRE 5-1-3.<br />

POWER ·SUPPLY UBIT REtIlVAL/REPLACEMEBT<br />

5.1.6 Power Supply Unit (ATRPS UBIT) Replacement<br />

1. RepIaee the power suppIy unit by sliding it toward the rear over the holddown<br />

tabs on the Iower ease.<br />

2. Fasten the power suppIy unit with the four sere~lS (C).<br />

3. Replaee the two ANT-MT Board conneetors (B), and the FDD and HDD power<br />

suppIy eonneetors.<br />

4. Replaee the upper ease.<br />

5-3


DIASSEMBLY AND<br />

ASSEMBLY<br />

REV.A<br />

5.1.7 Optiona! Circuit Board Remova!<br />

1. Remove the upper case.<br />

2. Disconnect the cab1es attached to the optional circuit board, if required.<br />

3. Remove screw (A) which holds the board to the Iower case.<br />

4. Remove the board by firmIy grasping the edge at both ends and pulling<br />

directIy upwards.<br />

(<strong>Al</strong><br />

F'IGURE 5-1-4.<br />

OP TIONAL CIRCUIT BOARD REMOVALlREPLACEMENT<br />

5.1.8 Optiona! Circuit Board Rep!acement<br />

1. Insert the optional circuit board into the ANT-MT Board connector slot (B).<br />

2. Fasten the board with screw (A).<br />

3. Replace the cable connections.<br />

4. Replace the upper ease.<br />

5-4


REV .A<br />

DIASSEKBLY AND ASSEKBLY<br />

5.1.9 Disk Drive (HOD or FOD) Removal<br />

1. Remove the upper case.<br />

2. Disconnect the signal and power supply cables on the rear of the unit.<br />

3. Remove the two side screws (A) fastening the drive.<br />

4. Remove the drive unit by sliding it carefully out the front of the lower<br />

case.<br />

(A<br />

(B)<br />

FIGDRE 5-1-5.<br />

DISK DRIVE REMOVAL/REPLACEMERT<br />

5.1.10 DISK DRIVE (HOD or FOD) Replacement<br />

1. Place the slider (B) at the left (to mount the drive horizontally) or at<br />

the bottom (to mount the drive vertically) and attach the drive to the<br />

lower case.<br />

2. Fasten the drive with the two screws (A).<br />

3. Connect the signal and power supply cables to the drive.<br />

4. Replace the upper case.<br />

(Note 1)<br />

Arrange the signal and power supply cables, then fasten the<br />

cables with the clamp attached to the po\'ler supply unH.<br />

5-5


DIASSKMBLY AN» ASSKMBLY<br />

HEV.A<br />

5.1.11 ART-RH Circuit Board Removal<br />

1. Remove the upper ease.<br />

2. Remove the serew (B) fastening the ANT-RH board (A) to the Iower ease.<br />

3. Remove the ANT-R}[ board by grasping the edge at both sides and pulling<br />

direetIy upwards.<br />

A<br />

B<br />

FIGURE 5-1-6. ART-RH CIRCUIT BOARD REHOVALlREPLACEMENT<br />

5.1.12 ART-RH Circuit Board Replacement<br />

1. Insert the ANT-RM board in the ANTA board eonnector slot (C).<br />

2. Fasten the ANT-R}! board to the IO\ler ease w,ith the sereu (B).<br />

3. Replaee the upper ease.<br />

5-6


REV .A<br />

DIASSEMBLY AND ASSEHBLY<br />

5.1.13 Hain (ARTA.) Cireuit Board Removal<br />

1. Remove the upper case.<br />

2. Remove the ANT-ml circuit board.<br />

3. Remove the foul' screws (D) fastening the ANTA board (C) to the lower case.<br />

4. Disconnect the ANTA board connector (F).<br />

5. Open the tabs (E) of the ANTA board towards the sides and slide the ANTA<br />

board out 7 01' 8 cm towards the front.<br />

6. Disconnectg the ANTA board connector (G).<br />

7. Pull the ANTA board out all the way to remove it.<br />

(F)<br />

(B)<br />

(H)<br />

-- (El<br />

FIGURE 5-1-7.<br />

-<br />

ANTA CIRCUIT BOARD REt«>VALlREPLACEMENT<br />

5 .1.14 Hain (ANTA) Cireuit Board Replacement<br />

1. Hold the ANTA circuit board (C) level and slide it horizontally toward the<br />

real' until about 8 cm of clearance remains.<br />

2. Replace the connector (G) on th~ ANTA board.<br />

3. Slide the ANTA board horizontally to firmly seat it into the ANT-l1T board<br />

connector (H).<br />

Note: Make sure that no cables are held between the ANTA and AJ1T-MT boards.<br />

4. Replace the ANTA board connector (F).<br />

5. Replace the ANT-m: board.<br />

7. Replace the upper case.<br />

5-7


DISASSEMBLY AND<br />

ASSEMBLY<br />

REV.A<br />

5.1.15 ART-MT Circuit Board Removal<br />

1. Remove the upper ease.<br />

2. Remove all optional eireuit boards.<br />

3. Remove the ANT-mt board.<br />

4. Remove the ANTA board.<br />

5. Diseonneet the two eonneetors (A).<br />

6. Remove the five serews (C) fastening the ANT-MT board (B) to the lower ease<br />

and remove the ANT-MT board.<br />

(C)<br />

(B<br />

FIGURE 5-1-8. ART-MT CIRCUIT BOARD REKOVALlREPLACEMENT<br />

5.1.16 ART-MT Circuit Board Replacement<br />

1. Replaee the ANT-MT board and fasten with the five serews (C).<br />

2. Connect the two eonnectors (A).<br />

3. Replaee the ANTA board.<br />

4. Replaee the ANT-r~ board.<br />

5. Replaee the optional eireuit boards.<br />

6. Replaee the upper ease.<br />

5-8


REV .A<br />

DISASSEMBLY AHn ASSEMBLY<br />

5.1.17 ANT-LS (LKD) Circuit Board Removal<br />

1. Remove the upper case.<br />

2. Disconnect connector CN6 on the WHDC circuit board.<br />

3. Remove the ANT-HM board and disconnect the connector (A) from the ANTA<br />

circuit board.<br />

4. Disconnect the connector (B) from the speaker cable.<br />

s. Disconnect the connector (D) from the case lock switch cable (C).<br />

6. Remove the screws (F) fastening the ANT-LS circuit board (E).<br />

7. Remove the ANT-LS board.<br />

(G)<br />

(D)<br />

(F)<br />

(<strong>Al</strong><br />

(El<br />

(C)<br />

(B)<br />

FIGURE 5-1-9.<br />

ANT-LS CIRCUIT BOARD REMOVAL/REPLACEMENT<br />

5.1.18 ANT-LS Circuit Board Replacement<br />

1. Replace the ANT-LS board (E) and fasten with the screws (F).<br />

2. Pass the cable for the connector (A) through the hole (G) toward the main<br />

circuit board.<br />

3. Connect the connector (A).<br />

4. Connect the connectors (B) and (D).<br />

S. Replace the ANTA and ANT-IDI boards.<br />

6. Connect connector CN6 of the WHDC circuit board.<br />

7. Replace the upper case.<br />

5-9


DISASSEKBLY ABO ASSEKBLY<br />

REV.A<br />

5.1.19 Speaker Removal<br />

1. Remove the upper ease.<br />

2. Remove the speaker eonneetor (A) frorn the ANT-LS board.<br />

3. Remove the serew (C) fastening the speaker to the mounting board.<br />

4. Remove the speaker.<br />

Cl<br />

(<strong>Al</strong><br />

FIGUBE 5-1-10.<br />

SPEAKER REMOVAL/REPLACEMENT<br />

5.1.20 Speaker Replacement<br />

1. Replaee the speaker on the mounting board tdth the serew (C).<br />

2. Conneet the speaker eable to the eonneetor (A) on the ANT-LS board.<br />

3. Replaee the upper ease.<br />

5-10


RKV .A<br />

DISASSEMBLY AND ASSEMBLY<br />

5 .2 KEYBOARD UNIT DISASSEMBLY AND ASSEMBLY<br />

5.2.1 Key Cylinder Unit Removal<br />

1. Remove the upper case.<br />

2. Disconnect the connector (B) from the case lock switch cable (A).<br />

3. Remove the screws fastening the key cylinder unit mounting plate.<br />

4. Remove the key cylinder unit mounting plate.<br />

(Bl<br />

(<strong>Al</strong><br />

FIGURE 5-2-1.<br />

KEY CYLINDER UNIT REMOVALlREPLACEMENT<br />

5.2.2 Key Cylinder Unit Replacement<br />

1. Fasten the key cylinder rnounting plate with the screws.<br />

4. Connect the connector (B) to the ANT-LS board.<br />

5. Replace the upper case.<br />

5-11


DISASSEMBLY AND ASSEMBLY<br />

REV.A<br />

5.3 POWER SllPPLY UHIT (ATRPS) DISASSEMBLY ARD ASSEMBLY<br />

5.3.1. Secondary-side Circuit Board Removal<br />

1. Remove the five screws (A) to remove the cover (B).<br />

2. Remove the four screws (C).<br />

3. Pull up the secondary-side circuit board (D) 5 cm and disconnect the fan<br />

connector (E).<br />

4. Remove the cable clamp (F) in the following order:<br />

(1) Hold the cable clamp (F) and rotate the clamp so that the cut<br />

section of clamp 1S mated with the cut section of the power supply<br />

case.<br />

(2) Remove the cut section of the cable clamp.<br />

(3) Remove the cable clamp.<br />

5. Remove the cables (G) along the cut section of the power supply case to<br />

remove the secondary-side circuit board.<br />

(Bl<br />

(<strong>Al</strong><br />

(0)<br />

(F<br />

FIGDRE 5-3-1. SECONDARY SIDE CIRCUIT BOARD RKKOVAL/REPLACKMKRT<br />

5-12


REV .A<br />

DISASSKMBLY AND ASSKMBLY<br />

5.3.2 Secondary-side Circuit Board Replacement<br />

1. Replace the cable clamp (F) on the cable (G).<br />

Hold the cut section of the cable clamp so that it will not come off.<br />

2. Insert the thinner section of the cable clamp in the hole on the power<br />

supply case.<br />

3. Connect the connector (E) to the secondary-side circuit board CD).<br />

4. Fasten the secondary-side circuit board with the four screws (C).<br />

5. Fasten the cover (B) with five screws (A).<br />

5-13


DISASSEMBLY AND ASSEMBLY<br />

REV.A<br />

5.3.3 Fan Unit Removal<br />

1. Remove the secondary-side circuit board.<br />

2. Rernove the four screws (A) to rernove the fan unit.<br />

(A)<br />

FIGURE 5-3-2.<br />

FAN UNIT REMOVALlREPLACEMENT<br />

5.3.4 Fan Unit Replacement<br />

1. Fasten the fan unit (B) with the four screws (A).<br />

2. Replace the secondary-side ci.rcuit board.<br />

5-14


RKV .A<br />

DIASSEMBLY AND ASSEMBLY<br />

5.3.5 Primary-side Circuit Board Removal<br />

1. Remove the secondary-side circuit board.<br />

2. Remove the fan unit.<br />

3. Remove the t~lO connectors (D).<br />

4. Remove the five screws (C) fastening the primary-side circuit board and<br />

remove the screws (E) fastening the two cables connecting the AC outlet.<br />

5. Slide the primary-side board horizontally to remove the board.<br />

(E)<br />

(A)<br />

(C)<br />

FlGUKE 5-3-3.<br />

PRIHARY-SIDE CIRCUIT BOARD REMOVAL/RPPLACEKENT<br />

5.3.6 Primary-side Circuit Board Replacement<br />

1. Replace the primary-side circuit board by sliding in horizontally.<br />

2. Fasten the primary-side board with the five screws (C).<br />

3. Fasten the two cables connecting the AC outlet to the primary-side board<br />

with the screws (E).<br />

4. Connect the two connectors (D).<br />

5. Replace the fan unit.<br />

6. Replace the secondary-side circuit board.<br />

5-15


CHAPTER<br />

6<br />

ADJUSTMENT AHD MAINTENANCE<br />

TAßLE OF CONTENTS<br />

Section<br />

Title<br />

Page


CHAPTER<br />

7<br />

DIAGRAMS AHD REFERENCE MATERIALS<br />

TAßlE OF CONTENTS<br />

Section<br />

7.1<br />

7.1.1<br />

7.1.2<br />

7.2<br />

7.2.1<br />

7.2.2<br />

7.2.3<br />

7.2.4<br />

7.2.5<br />

7.2.6<br />

7.2.7<br />

7.2.8<br />

7.2.9<br />

7.2.10<br />

Title<br />

SLIDE SWITCH &JUMPER CONNECTOR SETTINGS<br />

Slide Switch Settings ....•.............................<br />

Jumper Connector Settings •....................•........<br />

GATE ARRAY DESC RIPTION .<br />

GAATAB .•...•..•••...•.•.••..•..••.••.••....•••.•.•.••••<br />

GAATCB .....•..•••..••...•.••..•....••••..••••••......••<br />

GAATDB<br />

GAATCK<br />

GAATM2<br />

GAATIO<br />

GAATMl<br />

GAATRF<br />

GAATSP<br />

GAATFD<br />

••••..••••..••••••••.••••••••••.••••••••••••.••••<br />

•••••••.••••.•.••••••••••••••.•••••.•••••••••••••<br />

..•.•..•.•...•.••...•....•..•...•...••••...•••.••<br />

•..••....••....••...•....•••....•....•.•..•....••<br />

•••.•..............•.•...•••..•••.•..••••..•.••.•<br />

.• •.•.••...••..•.•.•......•••..•••.•....••••••..•.<br />

.••.•••.•••••••••.•••••.•••••••••••.•••••••••••••<br />

•...•.••••...•...••..••....••••...•••...•..••••.•<br />

Page<br />

7-1<br />

7-1<br />

7-2<br />

7-6<br />

7-6<br />

7-8<br />

7-10<br />

7-12<br />

7-15<br />

7-16<br />

7-18<br />

7-21<br />

7-24<br />

7-26<br />

DIAGNOSTICS PROGRAf.1 7-28<br />

LIST OF FIGURES<br />

Figure<br />

Title<br />

Page<br />

7-1-1<br />

7-1-2<br />

7-1-3<br />

Slide Switch Setting 7-1<br />

Va1ume Adj ustmen t 7- 1<br />

Jumper Connectars 7-2<br />

LIST OF TAßlES<br />

Table<br />

7-1-1<br />

7-1-2<br />

7-1-3<br />

7-1-4<br />

7-1-5<br />

7-1-6<br />

7-1-7<br />

Title<br />

Page<br />

Hain (ANTA) Board Jumper Connections 7-2<br />

Factory Settings (Main ANTA Board) 7-3<br />

System Memory (ANT-RM) Board Jumper Connetions 7-3<br />

Factory Settings (ANT-~1 Board) 7-4<br />

HDD Controller (WHCD) Board Jumper Connections 7-4<br />

Factory Settings (WHDC Board) 7-4<br />

~1ulti -Function Adapter (SPFG) Board Jumper Connections.. 7-5


7-1-8 Factory Settings (SPFG Board) ........................... 7-5<br />

7-2-1 GAATAB Pin Arrangement ·................................. 7-6<br />

7-2-2 GAATAB Pin Description ·................................. 7-7<br />

7-2-3 GAATCB Pin Arran gemen t ·................................. 7-8<br />

7-2-4 GAATCB Pin Description ·................................. 7-9<br />

7-2-5 GAATDB Pin Arrangement ·................................. 7-10<br />

7-2-6 GAATDB Pin Description ·................................. 7-11<br />

7-2-7 GAATCK Pin Arrangement ·................................. 7-12<br />

7-2-8 GAATCK Pin Description ·................................. 7-13<br />

7-2-9 GAAH12 Pin Description ·................................. 7-15<br />

7-2-10 GAATIO Pin Description ·................................. 7-16<br />

7-2-11 GAATM1 Pin Description ·................................. 7-18<br />

7-2-12 GAATRF Pin Description ·................................. 7-21<br />

7-2-13 GAATSP Pin Description ·................................. 7-24<br />

7-2-14 GAATFD Pin Description ·................................. 7-26


REV .A DIAGRAMS AND REFERENCE MATERIALS<br />

7.1 SLIDE SWITCH & JUMPER CORRECTOR SETTINGS<br />

7.1.1 Slide Switch Settings<br />

Monitor Select Switch<br />

MONO : Monochrome Monitor (Factory setting)<br />

COLOR: Color Monitor<br />

CPU<br />

Speed<br />

6<br />

8<br />

10<br />

Select Switch<br />

6 r-filz<br />

8 MHz (Factory setting)<br />

10 MHz<br />

OFF<br />

ON<br />

1-=11<br />

I<br />

I<br />

MONO COLOR<br />

MONITOR SELECT<br />

1c.=J1<br />

LLJ<br />

6 8 10<br />

CPU SPEED<br />

FIGURE 7-1-1.<br />

SLIDE SWITCH SETTINGS<br />

Volume Adjustment<br />

Turn clockwise to increase the volume.<br />

Turn counter-clockwise to decrease the volume.<br />

/~<br />

VOLUME<br />

FIGURE 7-1-2.<br />

VOLUME ADJUSTMENT<br />

7-1


DIAGRAMS AND REFERENCE MATERIALS<br />

REV.A<br />

7.1.2 JUMPER CONNEC'l'OR SETnNGS<br />

Jumper Connectors<br />

Jumper connectors provide a means to make a semi-permanent selection of a<br />

particular operational function, for instance, the 'A' or 'B' function in Fig.<br />

7-1-3 (1), where 'c' is the common terminal. If a jumper connection i8 called<br />

'A-C', the jumper 1s connected as in configuration '2' in Fig. 7-1-3. If a<br />

jumper connection is called 'B-C', the jumper is connected as in configuration<br />

'3' in Fig. 7-1-3.<br />

FIGURE ]-1-3.<br />

JUMPER CONNEC'l'ORS<br />

Hain (ANTA)<br />

Board Jumper Connections<br />

TABLE ]-1-1. M<strong>Al</strong>lf (ANTA) BOARD JUMPER CONNECnONS<br />

Jumper Number Function<br />

J6 J5 J4 J3 J2 Jl<br />

A-C Set CPU clock mode 6/8/10<br />

* *<br />

E-C Inhibit<br />

A-C A-C Inhibit<br />

A-C B-C Input CPU clock as NPX clock (1/3)<br />

B-C A-C Input 8 MHz as NPX dock<br />

* B-C B-C Inhibit<br />

A-C 2 wait cycles for EPROM access<br />

**<br />

* * B-C 1 wait cycle for EPROM access<br />

**<br />

A-C A-C 4 ,..ait cycles for ext. 16-bit device access<br />

**<br />

A-C B-C 3 wait cycles for ext. 16-bit device access<br />

**<br />

E-C A-C 2 wait cycles for ext. 16-bit device access<br />

**<br />

B-C B-C<br />

* * * *<br />

1 wait cycle for ext. 16-bit device access **<br />

Legend:<br />

*<br />

Not Applicable<br />

**<br />

Hait cycles available at 10 MHz operation<br />

7-2


REV.A<br />

DIAGRAMS<br />

AN» REFERENCE MATERIALS<br />

Factory Settings (Main ARTA Board)<br />

TABLE 7-1-2. FACIDRY SETTINGS (M<strong>Al</strong>B ARTA BOARD)<br />

Jumper<br />

Factory<br />

Number Setting Function<br />

J1<br />

]"-C<br />

J2 A-C. > Input CPU clock as<br />

J3 B-C > NPX clock<br />

J4 A-C) 2 wait cycles for EPROM access<br />

J5 ]"-C > 4 vlait cycles for external<br />

J6 A-C > 16-bit device access<br />

System Memory (ART-RH)<br />

TABLE 7-1-3. SYSTEM MEMORY (ART-RH) BOARD JUMPER CONNECTIONS<br />

Jumper Number<br />

J7 J6 J5 J4 J3 J2 J1 Function<br />

A-C A-G A-C 640KB Memory<br />

A-C A-C B-C 512KB Memory<br />

A-C B-C A-C<br />

A-C B-C B-C 256KB Memory<br />

B-C A-C A-C<br />

B-C A-C B-C<br />

B-C B-C A-C<br />

* * B-C B-C B-C OOOKB (disable all RAM)<br />

A-C A-C 27128<br />

A-C B-C B-C A-C * * B-C B-C 27256 EPROM size<br />

A-C A-C Select ROM pair 24].. and 24B<br />

A-C B-C B-C A-C B-C B-C * * * * * Select ROM pair 23A and 23B<br />

Legend: + = Not Applicable<br />

Inhibited<br />

7-3


DIAGRAKS AHn REFERENCE MATERIALS<br />

HEV.A<br />

Factory Settings<br />

(ANT-RH Board)<br />

TABLE 7-1-4.<br />

FACTORY SETTINGS (ANT-RH BOARD)<br />

Jumper Factory<br />

Number Setting Function<br />

J1 A-C > 64üKB<br />

J2 A-C > Memory<br />

J3 A-C > Size<br />

J4 B-C > 27256 EPROM<br />

J5 B-C > Memory Size<br />

J6 A-C > Select ROM socket<br />

J7 A-C > pair 24A and 24B<br />

Hard Disk Controller (WHDC)<br />

TABLE 7-1-5. HOD CONTROLLER (WHDC) BOARD JUMPER CONNECTIONS<br />

Jumper Number<br />

J3 J2 J1 Function<br />

* * B-C<br />

* * A-C<br />

* B-C<br />

*<br />

* A-C<br />

*<br />

B-C<br />

* *<br />

A-C<br />

* *<br />

Select primary address sets<br />

Select secondary address sets<br />

Non-latched status (LED)<br />

Latched status (LED)<br />

WAR mode<br />

WA2 mode<br />

Factory Settings (WHDC Board)<br />

TABLE 7-1-6. FACTORY SETTINGS (WHDC BOARD)<br />

Jumper<br />

Number<br />

J1<br />

J2<br />

J3<br />

Factory<br />

Setting<br />

f.,-C<br />

B-C<br />

B-C<br />

Function<br />

Secondary address sets<br />

Non-latched status<br />

\1AR mode<br />

7-4


REV.A<br />

DIAGRAMS AND REFERENCE MATERIALS<br />

Multi-function Adapter (SPFG)<br />

TABLE 7-1-7.<br />

MULTI-FUBCTIOR ADAPTER. (SPFG) BOARD JUMPER CONRECTIORS<br />

Jumper Number<br />

J8 J7 J6 J5 J4 J3 J2 Jl JI0 J9 Function<br />

A-C A-C Primary register set (3FO-3F7) AT:FDC<br />

A-C B-C Secondary regis. set (370-377) AT:FDC<br />

B-C A-C PC register set (3FO-3F7) : FDC<br />

* * B-C B-C * Disable FDC register set<br />

A-C A-C A-C Primary parallel I/F (378-37F) :IRQ 7<br />

B-C A-C B-C Secondary paral. I/F (278-27F):IRQ 5<br />

* * * * A-C B-C * * A-C * Parallel I/F on video adapter<br />

( 3BC-3BF) : IRQ 7<br />

* * B-C B-C * Disable parallel I/F<br />

A-C A-C A-C Primary serial I/F (3F8-3FF) : IRQ 4<br />

B-C A-C B-C Secondary serial I/F (2F8-2FF):IRQ 3<br />

A-C B-C Disable serial I/F<br />

* B-C B-C Disable serial I/F<br />

A-C AT drive I/F<br />

* B-C EQUITY-3 drive I/F<br />

A-C Standard configuration<br />

B-C * * * * * * * * * Test mode of VCO<br />

Factory Settings<br />

(SPFG Board)<br />

TABLE 7-1-8.<br />

FACTORY SETTIRGS (SPFG BOARD)<br />

Jumper Factory<br />

Number Setting Function<br />

J1 A-C > Primary register<br />

J2 A-C > set of AT<br />

J3 A-C > Primary<br />

J4 A-C > parallel<br />

J10 A-C > I/F IRQ 7<br />

J5 A-C > Primary<br />

J6 A-C > serial<br />

J9 A-C > I/F : IRQ 4<br />

J7 A-C AT drive I/F<br />

J8 A-C Standard configuration<br />

7-5


DIAGRAMS AND REFERENCE MATERIALS REV.A<br />

7.2 GATE ARRAY DESCRIP TION<br />

7.2.1 GAATAB<br />

GAATAB controls the CPU address bus, system address bus and the internal<br />

address bus. It has an 8-bit refresh counter.<br />

TABLE 7-2-1.<br />

GAATAB P IN ARRANGEMENT<br />

SIGNAL PIN PIK SIGNAL<br />

NAME 1/0* NO. NO. 1/0* NAME<br />

TESTN I 1 64 Vcc<br />

ALP. I 2 63 I A16<br />

<strong>Al</strong> I 3 62 I <strong>Al</strong>5<br />

AZ I 4 61 I A14<br />

A3 I 5 60 I <strong>Al</strong>3<br />

XAO Tri 6 59 Tri XA16<br />

X<strong>Al</strong> Tri 7 58 Tri XA15<br />

LSAO I 8 57 T DXA<br />

XAZ Tri 9 56 Tri XA14<br />

XA3 Tri 10 55 Tri XA13<br />

SAO Tri 11 54 Tri. XA12<br />

SA1 Tri 12 53 Tri SA16<br />

SA2 Tri 13 52 Tri SA15<br />

SA3 Tri 14 51 Tri SA14<br />

SM Tri. 15 50 Tri S<strong>Al</strong>3<br />

GNDA 16 49 GNDB<br />

GNDB 17 48 GNDA<br />

SAS Tri 18 47 Tri SA12<br />

SA6 Tri 19 46 Tri SAH<br />

SA7 Tri 20 45 Tri SA10<br />

SA8 Tri 21 44 Tri SA9<br />

XA4 Tri 22 43 Tri X<strong>Al</strong>l<br />

XAS Tri 23 42 Tri XA10<br />

XA6 Tri 24 41 Tri XA9<br />

OP.-N I 25 40 I R590N<br />

XA7 Tri 26 39 Tri XA8<br />

A4 I 27 38 I A12<br />

A5 I 28 37 I <strong>Al</strong>l<br />

A6 I 29 36 I A10<br />

A7 I 30 35 I A9<br />

A8 J 31 34 I G590N<br />

Vcc 32 33 I C590<br />

* Legend: I Input Pin<br />

0 Output P in<br />

Tri Tri-state Pin (Input, Output, High-impedance)<br />

7-6


REV .A<br />

DIAGRAMS AND REFERENCE MATERIALS<br />

TABLE ]-2-2.<br />

GAATAB PIN DESCRIPTION<br />

SYMBOL 1/0* PIN NO.<br />

NAME AND<br />

FUNCTION<br />

A16-1 I 63-60,38-35,<br />

31-27,5-3<br />

LSAO I 8<br />

SA16-0 Tri 53-50,47-44<br />

21-18, 15-11<br />

XA16-0 Tri 59,58,56-54,<br />

43-41,39,26,<br />

24-22,10,9,<br />

7,6<br />

ALE I 2<br />

OEN I 25<br />

DXA I 57<br />

C590 I 33<br />

G590N I 34<br />

R590N I 40<br />

TESTN I 1<br />

CPU address bus.<br />

Converted address O. It is identical to CPU<br />

address 0 (AO), except when word to byte<br />

conversion is being performed.<br />

System address bus.<br />

Internal address bus.<br />

Address latch enable. A16-1 are latched by ALE.<br />

Enable control of latched address (A16-1). When<br />

low, LSAO and latched A16-1 are enabled.<br />

Direction control of internal address bus(XA16­<br />

0) buffer. When high XA16-0 are driven by SA16­<br />

0, and when low SA16-0 are driven by XA16-0.<br />

Clock of refresh counter. Refresh counter<br />

increments at C590 rising edge.<br />

Outpu t enable of refresh counter. When low,<br />

refresh address is placed on SA7-0.<br />

Reset on refresh counter. When low, refresh<br />

counter is cleared.<br />

Test input. Should be pulled up.<br />

* Legend: I<br />

o<br />

Tri<br />

Input Pin<br />

Output P in<br />

Tri-state Pin (Input, Output, High Impedance)<br />

7-7


DIAGRAHS AND REFERENCE MATERIALS REV.A<br />

7.2.2 GüTeB<br />

GAATCB controls the CPU control bus and the most significant 7 bits of the<br />

address bus. Contains one inverter, one NOR gate and one NANO gate.<br />

TABLE 7-2-3.<br />

SIGNAL PIN<br />

NAME 1/0* NO.<br />

GAATeB PIN ARRANGEMENT<br />

PIN SIGNAL<br />

NO. 1/0* NAME<br />

GSA-N<br />

DLA<br />

ALE<br />

OE-N<br />

RFMRN<br />

RFC-N<br />

BHE<br />

MIO<br />

SBHE<br />

SMIO<br />

XBHE<br />

(NC)<br />

LA17<br />

LA18<br />

LA19<br />

GOOA<br />

GOOB<br />

LA20<br />

LA21<br />

LA22<br />

LA23<br />

A17<br />

A18<br />

A19<br />

(NC)<br />

A20<br />

A21<br />

(NC)<br />

A22<br />

A23<br />

(NC)<br />

Vcc<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

Tri<br />

O&H-Z<br />

Tri<br />

Tri<br />

Tri<br />

Tri<br />

Tri<br />

Tri<br />

Tri<br />

Tri<br />

Tri<br />

Tri<br />

Tri<br />

Tri<br />

Tri<br />

Tri<br />

Tri<br />

1<br />

2<br />

3<br />

4<br />

5<br />

6<br />

7<br />

8<br />

9<br />

10<br />

11<br />

12<br />

13<br />

14<br />

15<br />

16<br />

17<br />

18<br />

19<br />

20<br />

21<br />

22<br />

23<br />

24<br />

25<br />

26<br />

27<br />

28<br />

29<br />

30<br />

31<br />

32<br />

64<br />

63<br />

62<br />

61<br />

60<br />

59<br />

58<br />

57<br />

56<br />

55<br />

54<br />

53<br />

52<br />

51<br />

50<br />

49<br />

48<br />

47<br />

46<br />

45<br />

44<br />

43<br />

42<br />

41<br />

40<br />

39<br />

38<br />

37<br />

36<br />

35<br />

34<br />

33<br />

I<br />

o<br />

I<br />

I<br />

o<br />

I<br />

I<br />

o<br />

I<br />

I<br />

Tri<br />

Tri<br />

Tri<br />

Tri<br />

O&H-Z<br />

O&H-Z<br />

O&H-Z<br />

O&H-Z<br />

O&H-Z<br />

Tri<br />

Tri<br />

Tri<br />

Tri<br />

Vcc<br />

Vi<br />

VO<br />

NRI2<br />

NDl1<br />

NRO<br />

NDIZ<br />

OOll<br />

000<br />

DXR\~<br />

GSRWN<br />

IOW-N<br />

IOR-N<br />

MEMRN<br />

MEMWN<br />

GNDB<br />

GOOA<br />

8A17<br />

SA18<br />

8A19<br />

SMR-N<br />

SMW-N<br />

(NC)<br />

(NC)<br />

(NC)<br />

(NC)<br />

XIO\m<br />

(NC)<br />

XIORN<br />

XMW-N<br />

XMR-N<br />

(NC)<br />

* Legend: I<br />

o<br />

Tri<br />

O&H-Z<br />

Input P in<br />

Output Pin<br />

Tri-state Pin (Input, Output, High-impedance)<br />

Output & High-impedance Pin<br />

----....;;...---~-~---------------<br />

7-8


REV .A DIAGRAMS AHn REFERENCE MATERIALS<br />

TABLE 7-2-4.<br />

GAATCB PIB DESCRIPTIOB<br />

SYMBOL 1/0* PIB NO. NAKE AND FUBCTIOB<br />

A23-17 Tri<br />

SA19-17 O&H-Z<br />

LA23-17 l<br />

BHE<br />

SBHE<br />

XBHE<br />

MIO<br />

SHIO<br />

IOWN<br />

lORN<br />

MEMHN<br />

MEHRN<br />

XIOWN<br />

XlORN<br />

XMWN<br />

XMRN<br />

SMWN<br />

SHRN<br />

DLA<br />

GSAN<br />

ALE<br />

OEN<br />

DXRW<br />

GSRWN<br />

RFMRN<br />

RFCN<br />

VI<br />

VO<br />

NDIl<br />

NDI2<br />

NDO<br />

NDIl<br />

I<br />

Tri<br />

Tri<br />

I<br />

O&H-Z<br />

Tri<br />

Tri<br />

Tri<br />

Tri<br />

Tri<br />

Tri<br />

Tri<br />

Tri<br />

O&H-Z<br />

O&H-Z<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

T<br />

l<br />

I<br />

o<br />

I<br />

30,29,27,26,<br />

24-22<br />

45-47<br />

21-18,15-13<br />

7<br />

9<br />

11<br />

8<br />

10<br />

53<br />

52<br />

50<br />

51<br />

38<br />

36<br />

35<br />

34<br />

43<br />

44<br />

2<br />

1<br />

3<br />

4<br />

55<br />

54<br />

5<br />

6<br />

63<br />

62<br />

57<br />

58<br />

56<br />

60<br />

CPU<br />

address bus.<br />

System address bus. (8-bit connector).<br />

Unlatched system address bus. (16-bit<br />

connector).<br />

CPU bus high enable signal.<br />

System bus high enable signal. (16-bit<br />

connector).<br />

Internal bus high enable signal.<br />

CPU memory / 1/0 signal.<br />

Buffered memory / 1/0 signal.<br />

System 1/0 write signal. (8-bit connector).<br />

System 1/0 read signal. (8-bit connector).<br />

System memory write signal (16-bit connector).<br />

System memory read signal (16-bit connector).<br />

Internal 1/0 write signal.<br />

Internal 1/0 read signal.<br />

Internal memory write signal.<br />

Internal memory read signal.<br />

System memory write signal (8-bit connector).<br />

System memory read signal (8-bit connector).<br />

Direction control of CPU address bus (A23-17)<br />

buffer. When high, LA23-17 are driven by A23­<br />

17. And when low A23-17 are driven by LA23-17.<br />

Enable control of address bus (SAI9-17) buffer.<br />

When low, SA19-17 are driven by AI9-17.<br />

Address latch enable. A19-17 and MIO and BHE<br />

are latched by ALE.<br />

Enable control of latched address (A19-17), MIO<br />

and BHE. When low, SA19-17 are driven by latched<br />

A19-17. When low, SMIO and SBHE are driven by<br />

latched MIO and BHE, respectively.<br />

Direction control of CPU control bus. When<br />

high, XIOWN, XIORN, XNWN and XNRN are driven by<br />

IOWN, IORN, MEMWN, and HE}ffiN respectively. When<br />

low, IOWN, TORN, MEMWN and MEMRN are driven by<br />

XIOWN, XIORN, XMWN, and XHRN respectively.<br />

Enable control of SMWN and SMRN. When 10\., SHWN<br />

and SMRN are enabled.<br />

Memory read pulse of refresh cycle. RFMRN is<br />

used in conjunction with RFCN signal.<br />

Refresh enable. When low, MEMRN, XMRN and SMRN<br />

are driven by RFMRN.<br />

Input of inverter.<br />

Output of inverter.<br />

Input of NAND gate.<br />

Input of NAND gate.<br />

Output of NAND gate.<br />

Input of NOR gate.<br />

7-9


DIAGRAMS AND REFERENCE MATERIALS REV.A<br />

NDI2 I 61 Input of NOR gate.<br />

NOR 0 59 Output of NOR gate.<br />

7.2.3 GAAmB<br />

* Legend: I Input Pin<br />

0 = Ouput P in<br />

Tri Tri-state Pin (Input, Output, High-impedance)<br />

O&H-Z Output and High-impedance P in<br />

GAATDB has two data bus buffers (system data bus buffer and memDry data bus<br />

buffer) and a low to high byte conversion buffer.<br />

TABLE 7-2-5.<br />

GAAmB PIN ARRANGEMENT<br />

SIGNAL PlIi PIN SIGNAL<br />

NAME 1/0* 11). 11) • 1/0* NAME<br />

DO Tri 1 64 Vcc<br />

D1 Tri 2 63 Tri D15<br />

D2 Tri 3 62 Tri D14<br />

D3 Tri 4 61 Tri Dl3<br />

MDO Tri 5 60 Tri D12<br />

MD1 Tri 6 59 Tri MD15<br />

DMD I 7 58 I CBA<br />

GMDHN I 8 57 I SBA<br />

GMDLN I 9 56 Tri MD14<br />

MD2 Tri 10 55 Tri ~1Dl3<br />

MD3 Tri 11 54 Tri MD12<br />

SDO Tri 12 53 Tri SD15<br />

SD1 Tri 13 52 Tri SD14<br />

SD2 Tri 14 51 Tri SDl3<br />

SD3 Tri 15 50 Tri SD12<br />

GNDA 16 49 GNDB<br />

GNDB 17 48 GNDA<br />

SD4 Tri 18 47 Tri SDll<br />

SD5 Tri 19 46 Tri SD10<br />

SD6 Tri 20 45 Tri SD9<br />

SD7 Tri 21 44 Tri SD8<br />

MD4 Tri 22 43 Tri MD 11<br />

MD5 Tri 23 42 Tri HDlO<br />

MD6 Tri 24 41 I DD<br />

D245 I 25 40 I GDH-N<br />

G245N I 26 39 I GDL-N<br />

MD7 Tri 27 38 Tri MD9<br />

D4 Tri 28 37 Tri MD8<br />

D5 Tri 29 36 Tri Dll<br />

D6 Tri 30 35 Tri DlO<br />

D7 Tri 31 34 Tri D9<br />

Vcc 32 33 Tri D8<br />

* Legend: I Input Pin<br />

o Output P in<br />

Tri Tri-state Pin (Input, Output, High-impedance)<br />

7-10


REV .A DIAGRAMS AHn REFERENCE MATERIALS<br />

TADLE 7-2-6.<br />

GAA'IDB PIB DESCRIPTIOB<br />

SYMBOL<br />

1/0*<br />

PllI !K).<br />

NAME AND FUBCTIOB<br />

D15-0<br />

MD15-0<br />

SD15-0<br />

DD<br />

GDHN<br />

GDLN<br />

CBA<br />

SBA<br />

DMD<br />

GMDHN<br />

GMDLN<br />

D245<br />

G245N<br />

Tri<br />

Tri<br />

Tri<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

63-60,31-28<br />

4-1,33-36<br />

59,56-54,43,<br />

42,38,37,27,<br />

24,23,22,11,<br />

10,6,5<br />

53-50,47-44<br />

21-18,15-12<br />

41<br />

40<br />

39<br />

58<br />

57<br />

7<br />

8<br />

9<br />

25<br />

26<br />

CPU<br />

data bus.<br />

Memory data bus.<br />

System data bus.<br />

Direction control of CPU data bus(D15-0) buffer.<br />

When low, CPU reads data from MD15-0 or SD15-0.<br />

Enable control of CPU data bus high byte (D15-8)<br />

buffer. When low, it enables high byte.<br />

Enable control of CPU data bus low byte (D7-0)<br />

buffer. When low, it enables low byte.<br />

Read data latch. SD7-0 are latched at CBA rising<br />

edge.<br />

SBA selects latched or un-latched data. When<br />

high, latched data are selected. SBA is used in<br />

conjunction with CBA signal.<br />

Direction control of Memory data bus (HD15-0)<br />

buffer. When high Memory data is read.<br />

Enable control of Hemory data high byte (MD15-8)<br />

buffer. When low, it enables high byte. GMDEN<br />

is used in conjunction with D~ID signal.<br />

Enable control of Hemory data low byte (MD7-0)<br />

buffer. When low, it enables low byte. GHDLN is<br />

used in conjunction with DMD signal.<br />

Direction control of low to high byte conversion<br />

buffer. When low, it indicates high to low byte<br />

conversion during data transfers to 8-bit<br />

peripherals (write). When high, it indicates low<br />

to high byte conversion during data transfers<br />

from 8-bit peripherals (read).<br />

Enable control of low to high byte conversion<br />

buffer. It is active low signal and is used in<br />

conjunction with D245 signal.<br />

* Legend: I = Input Pin<br />

o = Output P in<br />

Tri Tri-state Pin (Input, Output, High-impedance)<br />

7-11


DIAGRAMS AND REFERENCE MATERIALS<br />

REV.A<br />

7.2.4 GAATCK<br />

GAATCK includes following functional blocks.<br />

(1) Clock generator<br />

CPU dock, 80287 dock, System clock,<br />

DMA clock, 8042 dock, 8254 dock,<br />

NTSC dock (14.31818 MHz)<br />

(2) Ready circuit<br />

(3) Reset circuit<br />

(4) Bus controller<br />

HEMR, MEMW, lOR, lOW, lNTA, ALE, DTR, DEN<br />

(5) Shut down circuit<br />

TABLE 7-2-7.<br />

GAATCK PIR ARRANGEMENT<br />

SIGNAL PIR PIR SIGNAL<br />

NAME 1/0* JIiI) • JIiI) • 1/0*<br />

,..•_,_ .._~--_.~._--,. -----. --._.-.~.,,--- ..----.----.._._.-<br />

NAME<br />

C14M I 1 64 Vcc<br />

HLDA I 2 63 I C48H<br />

<strong>Al</strong> I 3 62 I COFF<br />

RSWN I 4 61 I CDLY<br />

RSWP I 5 60 I CSPDO<br />

PWGD I 6 59 I CSPD1<br />

(NC) 7 58 (NC)<br />

(NC) 8 57 (NC)<br />

(NC) 9 56 0 RSN<br />

ENAS 0 10 55 0 C1M<br />

DTR 0 11 54 0 EMEMR<br />

ACKN 0 12 53 0 DEN<br />

EALE 0 13 52 0 BALE<br />

RSDV 0 14 51 0 AEN<br />

CLKO 0 15 50 0 SCLK<br />

GNDA 16 49 GNDB<br />

GNDB 17 48 GNDA<br />

MEHR O&lI-Z 18 47 0 OSC<br />

MEMW O&H-Z 19 46 O&H-Z lOR<br />

ALE 0 20 45 O&lI-Z IOW<br />

lNTA O&H-Z 21 44 0 PCLKP<br />

DCLK 0 22 43 0 PCLKN<br />

RDY 0 23 42 0 RSCPU<br />

(NC) 24 41 0 C8H<br />

(NC) 25 40 (NC)<br />

RC I 26 39 (NC)<br />

HSTR I 27 38 (NC)<br />

HlO I 28 37 I SRDY<br />

Sl I 29 36 I ARDY<br />

SO I 30 35 I AREN<br />

C20M I 31 34 I TEST<br />

Vcc 32 33 I CLKI<br />

* Legend: I Input Pin<br />

0 = Output Pin<br />

O&H-Z Output & High-impedance Pin<br />

7-12


REV .A DIAGRAMS AHn REFERENCE MATERIALS<br />

TABLE 7-2-8.<br />

GAATCK PIN DESCRIPTION<br />

SYMBOL 1/0* PU NO. NAME AND FUNCTION<br />

C48M<br />

C20M<br />

C14M<br />

PCLKP<br />

PCLKN<br />

OSC<br />

CIM<br />

C8M<br />

DCLK<br />

SCLK<br />

CLKO<br />

CLKl<br />

CSPDI<br />

CSPDO<br />

I<br />

I<br />

I<br />

o<br />

o<br />

o<br />

o<br />

o<br />

oo<br />

o<br />

I<br />

I<br />

I<br />

63<br />

31<br />

1<br />

44<br />

43<br />

47<br />

55<br />

41<br />

22<br />

50<br />

15<br />

33<br />

59<br />

60<br />

Clock input. 48 MHz.<br />

Clock input. 20 MHz.<br />

Clock input. 14.31818 MHz.<br />

(+) KB controller (8042) clock. 6 MHz.<br />

(-) KB controller (8042) clock. 6 MHz.<br />

Clock output. 14.31818 MHz. (for option slot)<br />

Clock output. 1.19 MHz. (for 8254)<br />

Clock output. 8 MHz, duty 33%. (for 80287)<br />

DMA CLOCK<br />

System clock.<br />

CPU clock output.<br />

CPU clock input. CLKl should be connected to<br />

CLKO externally.<br />

CPU clock select.<br />

CPU clock select.<br />

CSPDI<br />

o<br />

1<br />

1<br />

o<br />

CSPDO<br />

1<br />

1<br />

o<br />

o<br />

SCLK<br />

6 MHz<br />

8 MHz<br />

10 HHz<br />

12 MHz<br />

CLKO<br />

12 MHz<br />

16 MHz<br />

20 HHz<br />

24 MHz<br />

CLKl<br />

12 MHz<br />

16 MHz<br />

20 MHz<br />

24 MHz<br />

DCLK<br />

3 MHz<br />

4 MHz<br />

5 MHz<br />

6 MHz<br />

pweD<br />

RSWN<br />

RSWP<br />

RSN<br />

RSDV<br />

AREN<br />

ARDY<br />

SRDY<br />

RDY<br />

MIO<br />

SI<br />

SO<br />

I<br />

I<br />

I<br />

o<br />

o<br />

I<br />

I<br />

I<br />

o<br />

I<br />

I<br />

I<br />

6<br />

4<br />

5<br />

56<br />

14<br />

35<br />

36<br />

37<br />

23<br />

28<br />

29<br />

30<br />

Power good. When low, it indidates that power is<br />

not good and reset signals (RSN, RSDV, RSCPU)<br />

are activated.<br />

(-) Reset switch activation signal. RSWN becomes<br />

low.<br />

(+) Reset switch activation signal. RSWP becomes<br />

low.<br />

(-) Reset signal. (for internal circuit)<br />

(+) Reset signal. (for option slot)<br />

(-) Asynchronous ready and synchronous ready<br />

enable.<br />

(-) Asynchronous ready input. It is used in<br />

conjunction with AREN signal.<br />

(-) Synchronous ready input. It is used in<br />

conjunction with AREN signal.<br />

(-) Ready output.<br />

Memory or 1/0 select. When low, the current bus<br />

cycle is in the r/o space.<br />

Bus cycle status.<br />

Bus cycle status.<br />

MIO<br />

SI<br />

o 0<br />

o 0<br />

o 1<br />

o 1<br />

1 0<br />

so<br />

o<br />

1<br />

o<br />

1<br />

o<br />

Type of bus cycle<br />

IN TA<br />

10 READ<br />

10 WRITE<br />

NONE, IDLE<br />

HALT OR SHUT DOWN<br />

7-13


DIAGRAHS AHn REFERENCE MATERIALS<br />

REV.A<br />

1<br />

1<br />

1<br />

o<br />

1<br />

1<br />

1<br />

o<br />

1<br />

MEHORY READ<br />

MEMORY WRI TE<br />

NONE, IDLE<br />

COFF<br />

CDLY<br />

HLDA<br />

ALE<br />

DEN<br />

DTR<br />

MEHR<br />

MEMW<br />

IOR<br />

IO\-l<br />

INTA<br />

EHEHR<br />

EALE<br />

BALE<br />

MSTR<br />

ACKN<br />

AEN<br />

<strong>Al</strong><br />

Re<br />

RSCPU<br />

ENAS<br />

TEST<br />

I<br />

I<br />

I<br />

o<br />

o<br />

o<br />

O&H-Z<br />

O&H-Z<br />

O&H-Z<br />

O&H-Z<br />

O&Il-Z<br />

o<br />

o<br />

o<br />

I<br />

o<br />

o<br />

I<br />

I<br />

o<br />

o<br />

I<br />

62<br />

61<br />

2<br />

20<br />

53<br />

11<br />

18<br />

19<br />

46<br />

45<br />

21<br />

54<br />

13<br />

52<br />

27<br />

12<br />

51<br />

3<br />

26<br />

42<br />

10<br />

34<br />

(+) Control off. When high, command and DEN<br />

signal are forced inactive.<br />

Command delay. When high, the start of command<br />

output is delayed.<br />

Hold acknowledge. When high, command output<br />

becomes 3-state off.<br />

Address latch enable.<br />

Data bus enable.<br />

Data transmit/receive. "!hen high, this control<br />

output indicates that a write bus cycle is being<br />

performed.<br />

(-) Hemory read command.<br />

(-) Hemory write command.<br />

(-) 1/0 read command.<br />

(-) 1/0 write command.<br />

(-) Interrrupt acknowledge.<br />

(-) Early memory read signal.<br />

Early address latch enable.<br />

Buffered address latch enable.<br />

(-) Haster. A processor or DHA controller on the<br />

1/0 channel may pull this signal low.<br />

(-) Acknowledge. \l.Then low, mtA controller (or<br />

refresh controller) has control of the address<br />

bus, data bus and control bus.<br />

(+) Address enable.<br />

Address 1.<br />

(-) Reset CPU input from 8042.<br />

(+) Reset CPU output. When high, CPU is reset.<br />

RSCPU becomes active when:<br />

(1) P~?GD is low·.<br />

(2) Reset switch is activated.<br />

(3) 8042 pulls RC signal low.<br />

(4) CPU executes shutdown cycle.<br />

(-) Enable control of RTCAS (RTC address strobe)<br />

signal.<br />

(-) Test input. TEST should be pulled high.<br />

* Legend: 0<br />

I<br />

O&H-Z<br />

Output<br />

Input<br />

Output & High-impedance Pin<br />

7-14


REV .A DIAGRAMS AND REFERENCE MATERIALS<br />

7.2.5 GAA'l'M2<br />

GAATM2 generates memory address and RAS, CAS, WE signals used witb GAATM1 and<br />

tbe delay line to control DRAM.<br />

TADLE 7-2-9.<br />

GAA'l'M2 PIN DESCRIPTION<br />

SYMBOL<br />

1/0*<br />

PIX NO.<br />

NAME AND<br />

FUNCTION<br />

SA18-0<br />

MA8-0<br />

HEMR<br />

HEMW<br />

EMRN<br />

XMWN<br />

RFHN<br />

D40<br />

D80<br />

D160<br />

D200<br />

RA1<br />

RAO<br />

CAR<br />

CAL<br />

RAS1<br />

RAS0<br />

CASH<br />

CASL<br />

WE<br />

RAS<br />

TEST<br />

I<br />

o<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

I<br />

3,5,6,13-16,<br />

18,20-24,<br />

27-32<br />

44,43,41,40,<br />

9-7 ,12,11<br />

61<br />

62<br />

46<br />

63<br />

64<br />

52<br />

50<br />

48<br />

47<br />

35<br />

37<br />

38<br />

39<br />

59<br />

60<br />

56<br />

55<br />

45<br />

53<br />

54<br />

System address bus.<br />

DRAM<br />

address bus.<br />

(-) System memory read signal.<br />

(-) System memory write signal.<br />

(-) Early memory read signal.<br />

(-) Internal memory write signal.<br />

(-) Refresb signal.<br />

40 ns delayed signal from RAS.<br />

80 ns delayed signal from RAS.<br />

160 ns delayed signal from RAS.<br />

200 ns delayed signal from RAS.<br />

(+) RA1 signal is used to generate RAS1 signal.<br />

(+) RAO signal is used to generate RASO signal.<br />

(+) CAR signal is used to generate CASH signal.<br />

(+) CAL signal is used to generate CASL signal.<br />

(-) Row address strobe for DRAM (80000H-9FFFFH).<br />

(-) Row address strobe for DRAM (OH-7FFFFH)<br />

(-) Column address strobe for DRAM (OH-9FFFFH,<br />

odd byte).<br />

(-) Column address strobe for DRAM (OH-9FFFFH,<br />

even byte).<br />

(-) Write enable signal for DRAM.<br />

(+) RAS is generated from logical OR of MEMR and<br />

HEMW. This output is used to generate delay<br />

signals (D40, D80, D160, D200)<br />

(-) Test input. TEST should be pulled up.<br />

* Legend: I = Input Pin<br />

o Ouput Pin<br />

7-15


DIAGRAMS AN» REFERENCE MATERIALS REV.A<br />

7.2.6 GAATIO<br />

GAATIO<br />

includes following functional blocks.<br />

(1) Address decoder of 1/0 space.<br />

(2) D~~ page register (741S612 compatible)<br />

(3) Port B.<br />

(4) ~~I enable register.<br />

(5) Address latch for D~.<br />

(6) Interface circuit between NP (80287) and CPU (80286).<br />

(7) General purpose gates.<br />

--- 1 inverter, 1 NANO gate, two 3-state buffers.<br />

TABU 7-2-10.<br />

GAATIO PIN DESCRIPTION<br />

SYMBOL 1/0* PIB NO. NAME AND FUNCTION<br />

XD7-0<br />

A23-17<br />

STB2<br />

STB1<br />

I<br />

I<br />

AE01 0<br />

MSTN<br />

Tri<br />

O&H-Z<br />

XA16-10 O&H-Z<br />

XA9-8<br />

XA7-1<br />

XAO<br />

XIWN<br />

XIRN<br />

RSTN<br />

DK7N<br />

DK6N<br />

DK4N<br />

DK3N<br />

DK2N<br />

DKON<br />

DAK4<br />

RFHN<br />

ACKN<br />

Tri<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

AEN2 I<br />

AEN1 I<br />

AE02 0<br />

I<br />

38-31<br />

66,68,70,72,<br />

74,76,80<br />

77,75,73,71,<br />

69,67 ,64<br />

62,63<br />

61-55<br />

52<br />

12<br />

11<br />

30<br />

21<br />

20<br />

19<br />

18<br />

17<br />

16<br />

14<br />

85<br />

86<br />

26<br />

27<br />

22<br />

23<br />

96<br />

97<br />

87<br />

Internal data bus.<br />

CPU address bus.<br />

><br />

> > Internal address bus.<br />

><br />

>(-)<br />

Internal 1/0 write signal. (= -XIOW)<br />

(-) Internal 1/0 read signal. (= -XIOR)<br />

(-) Reset.<br />

-DACK7. D~~ acknowledge 7.<br />

-DACK6. D~~ acknowledge 6.<br />

-DACK4. D~~ acknowledge 4.<br />

-DACK3, D~ acknowledge 3.<br />

-DACK2. D~ acknowledge 2.<br />

-DACKO. D~ acknowledge O.<br />

+DACK4. This signal is output.<br />

(-) Refresh signal.<br />

(-) D~ acknowledge. ACKN is active when DMA or<br />

refresh cycle is being performed.<br />

(+) DHAC-N02 (16-bit D~ controller) address<br />

strobe signal.<br />

(+) DMAC-N01 (8-bit DMA controller) address<br />

strobe signal.<br />

(+) Address enable signal of D~C-N02.<br />

(+) Address enable signal of DMAC-N01.<br />

(-) AE02 is active when D~~C-N02 has control of<br />

the system.<br />

(-) AE01 is active when D~C-N01 has control of<br />

the system.<br />

-t~STER. When low, it indicates that the master<br />

on the option slot (DMAC or CPU on the slot) has<br />

the control of the system.<br />

7-16


REV.A<br />

DIAGRAMS AND REFERENCE MATERIALS<br />

ITAN<br />

SMIO<br />

NERN<br />

NBSN<br />

Q1<br />

ENAS<br />

CD2N<br />

CD1N<br />

CI2N<br />

CIlN<br />

CTMN<br />

CKBN<br />

RTRW<br />

RTDS<br />

RTAS<br />

NPRS<br />

NCSN<br />

CBSN<br />

IR13<br />

DXD<br />

NMI<br />

SPEK<br />

TM2G<br />

ENPR<br />

IOEN<br />

OUT2<br />

PCKN<br />

VI<br />

VO<br />

NAII<br />

NAZI<br />

NAnO<br />

TS20<br />

TSI<br />

TSO<br />

TSVI<br />

TSVO<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

I<br />

I<br />

I<br />

o<br />

I<br />

I<br />

o<br />

O&II-Z<br />

I<br />

O&H-Z<br />

I<br />

O&H-Z<br />

100<br />

88<br />

8<br />

7<br />

89<br />

98<br />

24<br />

25<br />

42<br />

41<br />

47<br />

99<br />

44<br />

45<br />

46<br />

6<br />

5<br />

9<br />

43<br />

39<br />

10<br />

51<br />

48<br />

81<br />

92<br />

49<br />

82<br />

84<br />

83<br />

95<br />

94<br />

93<br />

91<br />

1<br />

2<br />

13<br />

50<br />

-INTA. Interrupt acknowledge.<br />

Memory or 1/0 select.<br />

(-) NP (80287) error.<br />

(-) NP (80287) busy.<br />

Q1 is a timing signal to generate RTAS signal.<br />

(-) Enable control ot RTAS signal.<br />

(-) Chip select of D~~C2 (8237).<br />

(-) Chip select of DMAC1 (8237).<br />

(-) Chip select of INTC2 (8259).<br />

(-) Chip select of INTC1 (8259).<br />

(-) Chip select of system TIMER (8254).<br />

(-) Chip select of keyboard controller (8042).<br />

(-) Write signal of real time clock (HD146818).<br />

(-) Read signal of RTC (HD146818)<br />

(+) ALE signal of RTC (HD146818).<br />

(+) NP (80287) reset signal.<br />

(-) Chip select ~w (80287).<br />

(-) CPU (80286) busy signal.<br />

(+) Interrupt request 13.<br />

Direction control of 8 bit internal data bus<br />

(XD7-0) buffer.<br />

(+) Non-maskable interrupt request.<br />

Output signal for speaker.<br />

Time r CH2 gate. This signal is connected to<br />

channel 2 gate input of timer LSI (8254).<br />

(+) Enable RAM parity check.<br />

1/0 channel error (option slot).<br />

Timer CH2 output. This signal is connected to<br />

channel 2 output of timer LSI (8254).<br />

(-) Parity check error.<br />

Input of inverter.<br />

Output of inverter.<br />

Input of NAND gate.<br />

Input of NAND gate.<br />

Output of NAND gate.<br />

Output of 3-state buffer.<br />

Enable control (active low) of 3-state buffer.<br />

Output of 3-state buffer.<br />

Enable control (active low) of 3-state buffer.<br />

Output of 3-state buffer.<br />

* Legend: I<br />

o<br />

Tri<br />

O&l1-Z<br />

Input P in<br />

Ouput Pin<br />

Tri-state Pin (Input, Output, High-impedance)<br />

Output & High-impedance P in<br />

7-17


D1AGRAKS AND REFERENCE MATERIALS<br />

REV.A<br />

7.2.7 GAATMI<br />

GAATM1<br />

includes the following functional blocks.<br />

1. Address decoder for ROM and DRAM<br />

2. Parity checker / generator<br />

3. Additional circuitry for the memory expansion card<br />

(This circuit is not used in EQUITY 111+ / EPSON PC AX.)<br />

TABLE 7-2-11.<br />

GAATMI P1N DESCRIPTION<br />

SYMBOL 1/0* PIN No. NAME AND FUNCTION<br />

A23-17<br />

RFHN<br />

XAJ<br />

I<br />

I<br />

I<br />

3-9<br />

17<br />

2<br />

CPU address bus.<br />

(-) Refresh signal.<br />

ROM address select. XA16 or XA15 should be<br />

connected to XAJ. ROM address range:<br />

CSFN<br />

CSEN<br />

XAJ<br />

X.A16<br />

OFOOOO-OFFFFF<br />

FFOOOO-FFFFFF<br />

OEOOOO-OEFFFF<br />

FEOOOO-FEFFFF<br />

XAJ<br />

XA15<br />

OF8000-0FFFFF<br />

FF8000-FFFFFF<br />

(OE8000-0EFFFF)<br />

(FE8000-FEFFFF)<br />

OFOOOO-OF7FFF<br />

FFOOOO-FF7FFF<br />

(OEOOOO-OE7FFF)<br />

(FEOOOO-FE7FFF)<br />

ALE I 15<br />

HI1)A I 16<br />

XMRN I 14<br />

XBHE I 18<br />

XAO I 19<br />

JRAH J 60<br />

JRAL I 59<br />

JEFN I 56<br />

JEO<br />

JROM<br />

J1MN<br />

I<br />

I<br />

I<br />

57<br />

55<br />

28<br />

(+) Address latch enable.<br />

(+) Hold acknowledge.<br />

(-) Internal memory read signal.<br />

Internal bus high enable signal.<br />

Internal address bus o.<br />

(+) Enable control of RAM from 080000H to<br />

09FFFFH.<br />

(+) Enable control of RAM from 040000H to<br />

07FFFFH.<br />

(-) RAM address select. When low t RAM address is<br />

assigned from FOOOOOH to F9FFFFH. Th is input<br />

should be high or open in EQUITY 111+ / EPSON PC<br />

AX.<br />

(+) RAM address select. When high t RAM address<br />

is assigned from OOOOOOH to 09FFFFH. This input<br />

should be high or open in EQUITY 111+ / EPSON PC<br />

AX •<br />

(+) Enable control of ROM. When high t ROM is<br />

enabled. This input should be high or open in<br />

EQUITY III+ / EPSON PC AX.<br />

(-) Chip select input for memory expansion card<br />

which uses 1Mbit RAM chips. This input should be<br />

high or open in EQUITY 111+ / EPSON PC AX.<br />

7-18


REV.A<br />

DIAGRAMS AND REFERENCE MATERIALS<br />

JKN<br />

RA23<br />

D40<br />

I<br />

I<br />

I<br />

LMGN 0<br />

CRON 0<br />

CRAN 0<br />

CSFN 0<br />

CSEN 0<br />

RA1 0<br />

RAO 0<br />

CAR 0<br />

CAL 0<br />

RS3N 0<br />

RS2N 0<br />

DHD 0<br />

MA9 0<br />

DM15-0<br />

HP01<br />

MPOO<br />

I<br />

I<br />

MPIl 0<br />

HPIO 0<br />

EPR1<br />

EPR2<br />

PCKN 0<br />

ERON<br />

I<br />

I<br />

I<br />

O&I1-Z<br />

25<br />

23<br />

24<br />

10<br />

12<br />

11<br />

53<br />

54<br />

64<br />

63<br />

62<br />

61<br />

31<br />

30<br />

13<br />

29<br />

48-33<br />

50<br />

52<br />

49<br />

51<br />

21<br />

22<br />

20<br />

32<br />

(-) Chip select input for memory expansion card<br />

which uses 256Kbit RAH chips. This signal should<br />

be high or open in EQUITY 111+ / EPSON PC AX.<br />

(+) Timing input for RS3N and RS2N. (When GAATMl<br />

is used in memory expansion card.) This signal<br />

is not used in EQUITY III+ / EPSON PC AX, and<br />

should be high or open.<br />

40 ns delayed signal from RAS. (When GAATM1 is<br />

used in memory expansion card.) This signal is<br />

not used in EQUITY III+ / EPSON PC AX, and<br />

should be high or open.<br />

(-) Chip select of low order 1Hbyte memory<br />

space. LMGN is active when memory space from<br />

OOOOOOH to OFFFFFH is accessed.<br />

(-) ROM chip select.<br />

RAM chip select.<br />

(-) Read signal of BIaS ROM.<br />

(-) Read signal of reserved ROM.<br />

(+) RA1 signal is used to generate RAS1 signal<br />

in GAATM2.<br />

(+) RAO signal is used to generate RASO signal<br />

in GAATM2.<br />

(+) CAR signal is used to generate CASH signal<br />

in GAATM2.<br />

(+) CAL signal is used to generate CASL signal<br />

in GAATM2.<br />

(-) Row address strobe for DRAM. (When GAATM1 1s<br />

used in memory expansion card.) This signal is<br />

not used in EQUITY 111+ / EPSON PC AX.<br />

(-RAS2) Row address strobe for DRAM. (When<br />

GAATM1 is used in memory expansion card.) This<br />

signal is not used in EQUITY 111+ / EPSON PC AX.<br />

Direction control of memory data bus buffer.<br />

Dynamic RAM address 9. (When GMTM1 is used in<br />

memory expansion card.) This signal is not used<br />

in EQUITY III+ / EPSON PC AX.<br />

Memory data bus.<br />

Parity bit of odd address byte. MP01 is paritychecked<br />

with MD15-8 in memory read cycle.<br />

Parity bit of even address byte. MPOO is paritychecked<br />

with }ID7-0 in memory read cycle.<br />

Parity bit of odd address byte. MPIl is<br />

generated from ~ID15-8 in memory write cycle.<br />

Parity bit of even address byte. MPIO is<br />

generated from MD7-0 in memory write cycle.<br />

(+) Enable RAM parity check. When high, parity<br />

check circuit is enabled. And when low, parity<br />

check circuit is cleared.<br />

(-) Enable RAM parity check. This signal 1s not<br />

used in EQUITY 111+ / EPSON PC AX, and should be<br />

pullec1 down.<br />

(-) Parity error signal. When low, it indicates<br />

that parity error has occurred.<br />

(-) Parity error signal. 3-state output. This<br />

signal is not used in EQUITY III+ / EPSON pe /IX.<br />

7-19


DIAGRAMS AHn REFERENCE MATERIALS<br />

HEV.A<br />

* Legend: I<br />

o<br />

O&H-Z<br />

Input Pin<br />

Output Pin<br />

Output & High-impedance Pin<br />

In EQUITY 111+ / EPSON pe AX the following<br />

INPUT<br />

JEFN JEO JROM JIMN JKN RA23 D40<br />

EPR2<br />

OUTPUT<br />

RS3N RS2N MA9<br />

ERON<br />

signals are not used.<br />

high or open<br />

low<br />

no connection<br />

]-20


REV.A<br />

DIAGRAMS AND REFERENCE MATERIALS<br />

7.2.8 GAATRF<br />

GAATRF<br />

includes the following functional blocks.<br />

1. DRAM refresh control circuit.<br />

2. DHA control circuit.<br />

3. 16 8 data conversion circuit.<br />

4. Wait states insertion circuit.<br />

5. Command delay control circuit.<br />

6. XAO, XBHE control circuit.<br />

SYMBOL<br />

1/0* PIN NO.<br />

TABLE 7-2-12.<br />

GAATRF PIN DESCRIPTION<br />

NAME AND FUNCTION<br />

~.,rSO<br />

WS1<br />

I 15<br />

I 40<br />

Zero wait insertion. When low, wait state is not<br />

inserted. -OWS signal of option slot is<br />

connected to this pin.<br />

Wait states control of BIOS-ROM access.<br />

The number of wait states of BIOS-ROM (OEOOOO­<br />

OFFFFF, FEOOOO-FFFFFF) is controlled by WS1.<br />

CSPD WS1 Wait states<br />

0 (10 MHz) 0 2<br />

0 (10 HHz) 1 1<br />

1 (6 or 8 MHz)<br />

* 1<br />

(* : don' t care)<br />

WS2 I 39 WaU states control of 16-bit memory devices on<br />

the option card which activates -MEMCS16 signal.<br />

WS3 I 38<br />

CSPD ~VS3 WS2 Wait states<br />

0 (10 ~mz) 0 0 4<br />

0 (10 MHz) 0 1 3<br />

0 (10 MHz) 1 0 2<br />

0 (10 MHz) 1 1 1<br />

1 (6 or 8 MHz) * *<br />

1<br />

(* don't care)<br />

AO I 44<br />

CPU address bus O.<br />

ALE I 11<br />

(+) Address latch enables.<br />

MEMR I 7 (-) System memory read signal.<br />

MEMW I 8 (-) System memory write signal.<br />

IOR I 9 (-) System 1/0 read signal.<br />

IOW I 10 (-) System 1/0 write signal.<br />

INTA I 12 (-) Interrupt acknowledge.<br />

CSRA I 48 (-) Chip select signal of internal DRAM (0­<br />

09FFFF) •<br />

CSRO I 47 (-) Chip select signal of internal ROM (OEOOOO-­<br />

-OFFFFF, FEOOOO-FFFFFF).<br />

M16 I 17 (-) Chip select signal of 16-bit memory devices<br />

on the option siot. (-MEMCS16 signal of option<br />

siot is connected to this pin.)<br />

7-21


DIAGRAMS AN» REFERENCE MATERIALS<br />

REV.A<br />

1016<br />

IRDY<br />

NPCS<br />

CSPD<br />

I<br />

1<br />

1<br />

1<br />

18<br />

61<br />

45<br />

52<br />

(-) Chip select signal of 16-bit 1/0 devices on<br />

the option slot. (-10CS16 signal of option slot<br />

is connected to this pin.)<br />

(+) 1/0 channe] ready signal. (+10CHRDY signa]<br />

of option slot is connected to this pin.)<br />

(-) Chip select signal of numeri cal processor<br />

(80287) •<br />

CPU speed select.<br />

CSPD CPU speed<br />

o 10 MHz<br />

1 6 or 8 MHz<br />

Q1<br />

ARFY<br />

AREN<br />

COFF<br />

LSAO<br />

AEN1<br />

AEN2<br />

DAEN<br />

XAO<br />

XBHE<br />

o<br />

o<br />

o<br />

o<br />

o<br />

1<br />

1<br />

o<br />

Tri<br />

Tri<br />

2<br />

25<br />

16<br />

60<br />

23<br />

43<br />

42<br />

32<br />

49<br />

35<br />

(+) Q1 signal is active from phase 2 of first Tc<br />

cycle to phase 1 of last Tc cycle.<br />

(-) Asynchronous ready.<br />

(-) Asynchronous ready enable.<br />

(+) Control off. This signal becornes active<br />

during 16


REV.A<br />

DIAGRAMS AND<br />

REFERENCE MATERIALS<br />

OUT1<br />

HRQ1<br />

XHW<br />

HRQ<br />

HLDA<br />

HAK1<br />

RFNO<br />

RFNI<br />

RFPO<br />

I<br />

I<br />

I<br />

o<br />

I<br />

o<br />

o<br />

I<br />

o<br />

21<br />

22<br />

46<br />

30<br />

3<br />

31<br />

28<br />

63<br />

24<br />

OUT1 signal of 8254 (Timer LSI)<br />

(+) Hold request input from 8237 (D}~C LSI).<br />

(-) Internal memory write signal.<br />

(+) Hold request output to CPU.<br />

(+) Hold acknowledge input from CPU.<br />

(+) Hold acknowledge output to 8237 (DMAC LSI).<br />

(-) Refresh signal. This signal is generated in<br />

GAATRF.<br />

(-) Refresh signal input.<br />

(+) Refresh signal.<br />

RFlD<br />

RF2D<br />

DMMR<br />

XIOR<br />

DRDY<br />

XMR<br />

CA20<br />

A20G<br />

A20<br />

o<br />

o<br />

I<br />

I<br />

o<br />

O&H-Z<br />

I<br />

I<br />

O&H-Z<br />

37<br />

36<br />

41<br />

50<br />

29<br />

64<br />

34<br />

33<br />

62<br />

(-) Refresh signal which is delayed by one DMA<br />

clock cyc1e from RFNI signal.<br />

(-) Refresh signal which is delayed by two DMA<br />

clock cycles from RFNI signal.<br />

(-) D}~ memory read signal.<br />

(-) Internal 1/0 read signal.<br />

(+) D~~ ready signal.<br />

(-) Internal memory read signal.<br />

CPU address bus 20. A20 signal of CPU is<br />

connected to this pin.<br />

(+) Gate signal of A20. P21 signal of 8042 (one<br />

chip CPU) is connected to this pin.<br />

System address bus 20.<br />

CA20<br />

o<br />

1<br />

*<br />

A20G<br />

1<br />

1<br />

o<br />

A20<br />

o<br />

1<br />

o (* don' t care)<br />

CLK<br />

SCLK<br />

DCLK<br />

I<br />

I<br />

I<br />

51<br />

6<br />

13<br />

Processor clock.<br />

System clock.<br />

DMA c1ock.<br />

CPU speed<br />

6 HHz<br />

8 ~rnz<br />

10 MHz<br />

CLK<br />

12 HHz<br />

16 MHz<br />

20 MHz<br />

SCLK<br />

6 HHz<br />

8 MHz<br />

10 }ffiz<br />

DCLK<br />

3 MHz<br />

4 tffiz<br />

5 HHz<br />

RST<br />

RSTO<br />

TEST<br />

I<br />

o<br />

I<br />

20<br />

19<br />

53<br />

(-) Reset input.<br />

(+) Reset output.<br />

(-) Test input.<br />

* Legend: I<br />

o<br />

Tri<br />

O&H-Z<br />

Input Pin<br />

Output P in<br />

Tri-state Pin (Input, Output, High-impedance)<br />

Output & High-impedance Pin<br />

7-23


DIAGRAMS AND<br />

REFERENCE MATERIALS<br />

HEV.A<br />

7.2.9 GAATSP<br />

GMTSP<br />

includes the follo\ving functional blocks.<br />

1. Address decoder for serial port (DART, 16450 or 8250)<br />

2. Parallel port. (PTDR: printer data register,<br />

PTSR: printer status register,<br />

PTCR: printer control register)<br />

3. Oscillator for DART. (1.8432 MHz output)<br />

4. General purpose 3-state gate.<br />

TABLE 7-2-13.<br />

GAATSP PIN DESCRIPTION<br />

SYMBOL<br />

1/0*<br />

PIN NO.<br />

NAHE AND FDNCTION<br />

SA9-0<br />

SD7-0<br />

IOWN<br />

IORN<br />

AEN<br />

RES<br />

PIFI<br />

PIFO<br />

1<br />

Tri<br />

I<br />

I<br />

I<br />

lS<br />

I<br />

1<br />

13-4<br />

22,24,29,31<br />

54,56,61,63<br />

17<br />

16<br />

14<br />

32<br />

20<br />

21<br />

Address bus<br />

Data bus<br />

(-) 1/0 write pulse.<br />

(-) 1/0 read pulse.<br />

(+) Address enable. This signal becornes high,<br />

when DMA cycle is being executed.<br />

(+) Reset.<br />

Address select pin for parallel port.<br />

Address select pin for parallel port.<br />

PIFI<br />

1<br />

1<br />

o<br />

o<br />

PIFO<br />

1<br />

o<br />

1<br />

o<br />

parallel port address<br />

378, 379, 37A<br />

278, 279, 27A<br />

3BC, 3BD, 3BE<br />

disable<br />

SIFI<br />

SIFO<br />

I<br />

I<br />

18<br />

19<br />

Address select pin for serial port.<br />

Address select pin for serial port.<br />

SIFI<br />

1<br />

1<br />

o<br />

o<br />

SIFO<br />

1<br />

o<br />

1<br />

o<br />

serial port address<br />

3F8 --_. 3FF<br />

2F8 --- 2FF<br />

disable<br />

disable<br />

XTl<br />

XT2<br />

OSC<br />

TSA<br />

TSC<br />

TSY<br />

OD7-0<br />

OSLI<br />

OINl<br />

OATF<br />

o<br />

I<br />

I<br />

O&II-Z<br />

o<br />

o<br />

o<br />

o<br />

51<br />

50<br />

52<br />

48<br />

49<br />

47<br />

23,25,28,30<br />

55,57,60,62<br />

41<br />

43<br />

Crystal input. (3.6864 MHz)<br />

Crystal input. (3.6864 }ffiZ)<br />

1.8432 MHz clock output.<br />

Data input of 3-state buffer.<br />

Control input of 3-state buffer.<br />

Output of 3-state buffer.<br />

Printer data bit 7-0.<br />

(-) Printer select.<br />

(-) Printer initialize.<br />

(-) Auto feed.<br />

7-24


REV.A<br />

DIAGRAMS<br />

AN» REFERENCE MATERIALS<br />

OSTB<br />

SLN<br />

INI<br />

ATF<br />

STB<br />

BSY<br />

ACK<br />

EOP<br />

SLP<br />

ERR<br />

IRQ<br />

OIRE<br />

ACKP<br />

SCSN<br />

DDIR<br />

o<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

O&II-Z<br />

o<br />

o<br />

o<br />

o<br />

45<br />

38<br />

40<br />

44<br />

46<br />

34<br />

33<br />

35<br />

36<br />

37<br />

15<br />

3<br />

2<br />

53<br />

64<br />

(-) Printer data strobe pulse.<br />

(-) Printer select.<br />

(-) Printer initialize.<br />

(-) Auto feed.<br />

(-) Printer data strobe pulse.<br />

(+) Printer busy.<br />

(-) Acknowledge.<br />

(+) End of paper.<br />

(+) Printer select.<br />

(-) Printer error.<br />

(+) Interrupt request. IRQ becomes active, when<br />

-ACK signal becomes low and interrupt request is<br />

enabled.<br />

(-) Interrupt request enable.<br />

(+) Acknowledge.<br />

(-) Chip select signal of serial port.<br />

(-) Direction control of data buffer. This<br />

signal is active while serial port or parallel<br />

port are being read.<br />

* Legend: I o<br />

Tri<br />

0&11-2<br />

Input Pin<br />

Output P in<br />

Tri-state Pin (Input, Output,<br />

= Output & High-impedance Pin<br />

High-impedance)<br />

7-25


DIAGRAMS AND REFERENCE MATERIALS<br />

REV.A<br />

7 .2 .10 GAATFD<br />

GMTFD<br />

includes the following functional blocks.<br />

1. Address decoder for FDC(765) and 1/0 registers.<br />

2. 1/0 register.<br />

FDOR : Floppy digital output register.<br />

FCR : Floppy control register.<br />

3. Write precompensation circuit.<br />

4. Clock ci.rcuit<br />

5. DMA request circuit.<br />

TABLE 7-2-14.<br />

GAATFD PIK DESCRIPTIOK<br />

SYMBOL 1/0* PIK 1«>.<br />

NAME AND<br />

FUNCTION<br />

BA9-0 I 8-2,64-62<br />

BD5-0 I 14-19<br />

IOWN I 11<br />

IORN I 10<br />

AEN I 9<br />

RSE I 52<br />

FDIl I 46<br />

FDIO I 45<br />

Address bus.<br />

Data bus.<br />

(-) 1/0 write pulse.<br />

(-) 1/0 read pulse.<br />

(+) Address enable. This signal becomes high,<br />

when DMA cycle is being executed.<br />

(+) Reset.<br />

Address select pin for FDC.<br />

Address select pin for FDC.<br />

FDIl FDIO FDC address AT/XT<br />

1 1 3FO 3F7 AT portI<br />

1 0 370 377 AT port2<br />

0 1 3FO 3F7 XT<br />

0 0 disable<br />

(When FDll, FDIO = 0, 1<br />

can not be accessed.)<br />

Floppy control register<br />

MOT2<br />

MOTI<br />

DS2<br />

DSI<br />

FRES<br />

RlvC<br />

3X7N<br />

3XVN<br />

FCSN<br />

BDIR<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

o<br />

30<br />

29<br />

25<br />

24<br />

49<br />

23<br />

32<br />

47<br />

44<br />

59<br />

(+) Motor enable 2. (DRIVE B)<br />

(+) Motor enable 1. (DRIVE A)<br />

(+) Drive select 2. (DRIVE B)<br />

(+) Drive select 1. (DRIVE A)<br />

(+) FDC (765) reset signal.<br />

(+) Reduced write current.<br />

(-) Read 3X7 signal. This signal becomes active<br />

while 1/0 address 3X7 is read. X means F (when<br />

FDIO=I) or 7 (when FDIO=O)<br />

(-) Chip select of 3X6 and 3X7. This signal<br />

becomes active while 1/0 address 3X6 or 3X7 are<br />

accessed.<br />

(-) Chip select of FDC (765).<br />

(~) Direction control of data buffer. This<br />

signal is active whiJe FDC (765) is being read.<br />

(CPU access or DMA transfer)<br />

7-26


REV.A<br />

DIAGRAMS AND REFERENCE MATERIALS<br />

DREN<br />

DAKN<br />

FDAN<br />

BTC<br />

FTC<br />

FDRQ<br />

DRQ<br />

FWD<br />

WB<br />

PSI<br />

PSü<br />

WD<br />

C48<br />

TEST<br />

MIN<br />

OSC<br />

CLK<br />

WCLK<br />

PINT<br />

SYNC<br />

VDRQ<br />

SIDE<br />

HS<br />

INV<br />

INVN<br />

SEEK<br />

DTKO<br />

TRKO<br />

o<br />

I<br />

o<br />

I<br />

o<br />

I<br />

o<br />

I<br />

I<br />

I<br />

I<br />

o<br />

I<br />

I<br />

o<br />

o<br />

o<br />

o<br />

I<br />

I<br />

o<br />

I<br />

o<br />

I<br />

o<br />

I<br />

I<br />

o<br />

60<br />

12<br />

50<br />

13<br />

42<br />

40<br />

61<br />

35<br />

36<br />

33<br />

34<br />

28<br />

55<br />

38<br />

48<br />

57<br />

54<br />

56<br />

41<br />

43<br />

53<br />

51<br />

22<br />

20<br />

21<br />

37<br />

31<br />

39<br />

(-) Enable DMA and interrupt.<br />

(-) D~~ acknowledge. (input frorn 8237)<br />

(-) DMA acknowledge. (output to 765)<br />

(+) Terminal count. (input frorn 8237)<br />

(+) Terminal count. (output to 765)<br />

(+) D~~ request. (input from 765)<br />

(+) D}~ request. (output to 8237)<br />

(+) Write data. (input from 765)<br />

(+) Write enable. (input from 765)<br />

Peak shift. (input from 765)<br />

Peak shift. (input from 765)<br />

(+) Write data. (output to FDD)<br />

48 MHz clock input.<br />

(-) Test pin.<br />

Mini/Standard. (for SED9420)<br />

16/9.6 MHz clock output. (for SED9420)<br />

FDC dock. (8/4.8/4 ~rnz)<br />

FDC write clock. (IM/600K/500K Hz)<br />

(+) Interrupt request of FDC. (input frorn 765)<br />

VFO synchronize. (input frorn 765)<br />

(+) VFO DREQ. (for SED9420)<br />

(+) Side select. (Head select, input frorn 765)<br />

(+) Head select. (output to FDD)<br />

Input of inverter.<br />

Output of inverter.<br />

(+) Seek. (input from 765)<br />

(+) Track O. (input from FDD)<br />

(+) Track O. (output to 765)<br />

* Legend: I = Input P in<br />

o Output Pin<br />

7-27


DIAGRAMS AHn REFERENCE MATERIALS<br />

REV.A<br />

DIAGNOSTICS PROGRAM<br />

CONTENTS<br />

1. System Board Check .•••..•••.•.....•••••.•• 7-29<br />

2. f';1emory Check............................... 7-38<br />

3. Keyboard Check 7-41<br />

4. Monochrome Display Adapter and CRT Check 7-46<br />

5. Color Graphics Adapter and CRT Check 7-52<br />

6. Floppy Disk Drives and Controller Check ••.. 7-64<br />

7. Math Coprocessor (80287) Check •••••....••.. 7-70<br />

9. Parallel Port (Printer Interface) Check ..•• 7-75<br />

11. Serial Port (RS-232C) Check ••••••••.....•.. 7-78<br />

12. <strong>Al</strong>ternate Serial Port Check................ 7-82<br />

14. Dot-matrix Printer Check 7-83<br />

17. Hard Disk Drives and Controller Check •••.•. 7-85<br />

21. <strong>Al</strong>ternate Parallel Port Check.............. 7-90<br />

7-28


REV .A DIAGRAMS AND REFERENCE MATERIALS<br />

1. System Board Check<br />

This module checks the operation of each IC contained in the<br />

systemboard. The checking operation is performed in the following<br />

order,and the program displays an error message when some error is<br />

detected.If noerror is detected, the program displays no error<br />

message.<br />

1. 80286 Processor check<br />

2. 146818 CMOS shutdown byte check<br />

3. 27256 ROM checksum check<br />

4. 8254 Timer Counter check<br />

5. 8237 DMA Controller check<br />

6. SN74LS612 DMA Page resister check<br />

7. 8237 Memory reflesh check<br />

8. 8042 Keyboard Controller check<br />

9. 80286 instruction check<br />

10. 146818 CMOS checksum and battery check<br />

11. 8259 Interrupt Controller check<br />

12. 8254 Timer Counter speed check<br />

13. 80286 protect mode check<br />

When the system board check is started, the program displays<br />

following message.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: SYSTEM BOARD CHECK :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

The remainder of this section describes the procedures for<br />

each checking operation.<br />

1) 80286 Processor Check<br />

The 80286 Processor check performs writing and reading checks<br />

on the 80286 flags and segment registers.<br />

a. "Offh" is stored (safh) into the 8080 flag, then re-loaded<br />

(lahf). The flags are checked and it is considered an error<br />

if any of the below flags are not set (if the flag = 0):<br />

CF (Carry Flag)<br />

ZF (Zero Flag)<br />

PF (Parity Flag)<br />

SF (Sign Flag)<br />

AF (Auxiliary Carry-BCD)<br />

I<br />

I<br />

I<br />

I<br />

7-29


DIAGRAMS AND<br />

REFERENCE MATERIALS<br />

REV.A<br />

The error message is as folIows:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 101 l<br />

l 80286 CPU ERROR l<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

b. "OOh" is stored (safh) into the 8080 flag, then re-loaded<br />

(lahf). The flags are checked and the program displays an<br />

error message if any of the flags listed in Item a. above are<br />

not reset (if the flag = 1).<br />

The error message is identical to that of Item a.<br />

c. "Oaa55h" is sequentially written to and read from Registers<br />

es, ds, and ss, then their values are checked. The program<br />

displays an error message if the results do not match the<br />

initial values.<br />

The error message is identical to that of Item a.<br />

d. Using "055aah" as the test data, acheck identical to that<br />

described in Item c. is conducted.<br />

The error message is identical to that of Item a.<br />

2) 146818 CMOS Shutdown Byte Check<br />

The 146818 CMOS shutdown byte check performs a WRlTE/READ<br />

check on the shutdown byte of the 146818 CMOS.<br />

a. The shutdown byte of offset 8fh of the CMOS is selected, "01"<br />

is written and read, and the value is checked. The program<br />

displays an error message if the result does not match the<br />

initial value.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 110 :<br />

: 146818 CMOS SHUTDOWN BYTE ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

b. The test data of Item a. above is shifted to the left, then a<br />

check identical to that described in Item a. is performed for<br />

a11 eight bit s.<br />

The error message is identical to that of Item a.<br />

c. Using "Oaah" as the test data, acheck identical to that<br />

described in Item a. is performed.<br />

The error message is identical to that of Item a.<br />

d. Using "055h" as the test data, acheck identical to that<br />

described in Item a. is performed.<br />

The error message is identical to that of Item a.<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-30


REV.A DIAGRAMS AND REFERENCE MATERIALS<br />

e. "OOh" is written to the shutdown byte, then this operation is<br />

terminated.<br />

3) 27256 ROM Checksum Check<br />

The 27256 ROM checksum check performs a check on the sum total<br />

of all 27256 ROM data in byte units, then confirms whether or not<br />

that sum equa1s "OOh". The program displays an error message if the<br />

sum does notequal "OOh".<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 102 I<br />

: 27256 ROM CHECKSUM ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

4) 8254 Timer Counter Check<br />

The 8254 Timer Counter check performs acheck of the setting<br />

and reading operation of the counter register of the 8254 Timer<br />

Counter.<br />

Count "0" of the 8254 Timer Counter is 1atched and the count<br />

va1ue is checked. Next, acheck is repeated1y performed to confirm<br />

that all 16 bits have the va1ue of "1". The program displays an<br />

error message ifany bit of the count va1ue of "1" cannot be read.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 103 :<br />

: 8254 TIMER COUNTER REGISTER ERROR l<br />

1 I<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

5) 8237 DMA Controller Check<br />

The 8237 DMA Controller check performs a WRITE/READ check on<br />

the eight registers (Port Nos. OOh to 07h) of the first 8237 DMA<br />

Controller and on the eight registers (Port Nos. COh, C2h to CEh)<br />

of the second 8237 DMA Controller.<br />

The test data is used in the sequence of "OOOOh", "5555h",<br />

"Oaaaah", and "Offffh". If an error is detected, the fo11owing<br />

message will be disp1ayed:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 105 I<br />

: 8237 DMA CONTROLLER REGISTER ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-31


DIAGRAMS AND REFERENCE MATERIALS<br />

REV.A<br />

6) SN74LS612 DMA Page Register Check<br />

The SN74LS612 DMA Page register check performs a WRITE/READ<br />

check on the 15 registers (Port Nos. 81h to 8Fh) of the SN74LS612<br />

Page Register.<br />

The test data is used in the sequence of "OOh", "SSh", "Oaah",<br />

and "Offh". If an error is detected, the following message will be<br />

displayed:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 106 :<br />

: 612 DMA PAGE REGISTER ERROR l<br />

1 I<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

7) 8237 Memory Refresh Check<br />

The 8237 Memory refresh check performs a repeated check on the<br />

refresh detect bit of Port No. 61h, and checks if its status makes<br />

a change.<br />

The program displyas an error message if the status does not<br />

change.<br />

+-----------------------------------------------------------------+<br />

1 I<br />

I<br />

I<br />

: Error code = 105 :<br />

: 8237 DMA REFRESH ERROR l<br />

I<br />

I<br />

I 1<br />

+-----------------------------------------------------------------+<br />

8) 8042 Keyboard Controller Check<br />

The 8042 Keyboard Controller check performs a check on the<br />

operating status of the Keyboard Controller.<br />

a. The status of the Keyboard Controller is repeatedly checked,<br />

and the program displays an error message if its input buffer<br />

is not empty.<br />

+-----------------------------------------------------------------+<br />

I 1<br />

I<br />

I<br />

: Error code = 107 I<br />

: 8042 TIME OUT ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

b. The Self-test command is output to the Keyboard Controller so<br />

that it will execute a self-diagnostic test. If an error is<br />

detected, the following message will be displayed:<br />

I<br />

I<br />

I<br />

I<br />

7-32


REV.A DIAGRAHS AND REFERENCE MATERIALS<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 108 :<br />

: 8042 SELF DIAGNOSTIC ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

c. The Read Input Code command is output to the Keyboard<br />

Controller, and the program displays an error message if it<br />

does not assume the "Input buffer empty" status.<br />

The error message is identical to that of Item a. above.<br />

d. The Write Keyboard Controller's Command Byte command is<br />

output tothe Keyboard Controller, and the program displays<br />

an error messageif it does not assume the "Input buffer empty<br />

status.<br />

The error message is as foliows:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 108 :<br />

: 8042 WRI TE COMMAND ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

e. The mode of the Keyboard Controller is reset to the following<br />

modes and this operation is terminated.<br />

PC Compatible Mode<br />

Disable Keyboard<br />

Inhibit Override<br />

System flag<br />

Enable Output-Buffer-Full Interrupt<br />

9) 80826 Instruction Check<br />

The 80826 Instruction check performs a WRITE/READ test on the<br />

System Table Registers IDTR and GDTR as weIl as a SET/RESET test on<br />

the direction flag and interrupt enable flag of the CPU flags.<br />

a. The test data "Oaaaah" is written to and read from IDTR and<br />

GDTR, then their values are checked. The program displays an<br />

error message if the results do not match the initial values.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 113 l<br />

l 80286 INSTRUCTION ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

b. Using the test data "5555h" and "OOOOh", an identical check<br />

is performed.<br />

The error message is identical to that of Item a. above.<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-33


DIAGRAMS AND<br />

REFERENCE MATERIALS<br />

REV.A<br />

c. "Offffh" is set at IDTR.<br />

d. The direction flag and interrupt enable flag are set using the<br />

STD and STI instructions, and the status of the CPD flags is<br />

checked. It is considered an error if the direction flag and<br />

interrupt enable flag are not set.<br />

The error message is identical to that of Item a.<br />

e. Similarly, the direction flag and interrupt enable flag are<br />

reset using the CLD and CLI instructions, and the status of<br />

the CPD flags is checked.<br />

The error message is identical to that of Item a.<br />

10) 146818 CMOS Checksum and Battery Check<br />

The 146818 CMOS checksum and battery check performs a check on<br />

the battery status of the CMOS and the checksum.<br />

a. The CMOS battery status is read to check if the battery is all<br />

right. If not, the program displys following error message.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 111 :<br />

: 146818 CMOS BATTERY ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

b. The CMOS shutdown byte is read to check if it is in "Shutdown<br />

OK" status. If so, this operation is terminated here; if not,<br />

execution proceeds to the checking of the checksum.<br />

c. The total sum of the data from CMOS offset 90h to Oadh is<br />

calculated, and the program displys an error message if the<br />

resulting value equals zero.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 112 :<br />

: 146818 CMOS CHECKSUM ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

d. The total sum of the data from CMOS offset 90h to Oadh is<br />

compared with the checksum value (CMOS offset Oaeh and Oafh),<br />

and the program disp1ys an error message if they do not match.<br />

The error message is identical to that of Item c.<br />

11) 8259 Interrupt Controller Check<br />

The 8259 Interrupt Controller check performs the following<br />

checks on 8259.<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-34


BEY .A DIAGRAHS AND REFERENCE MATERIALS<br />

a. The masking of all interrupts is reset, then a READ check is<br />

performed on the mask register.<br />

b. The masking of all interrupts is set, then a READ check is<br />

performed on the mask register.<br />

c. Interrupt state of the CPU is enabled in a status where all<br />

interrupts are masked, and the program displays an error<br />

message if an interrupt occurs.<br />

If an error is detected during the above checks, the program<br />

displays following error message:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 109 :<br />

: 8259 INTERRUPT CONTROLLER ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

12) 8254 Timer Counter Speed Check<br />

The 8254 Timer Counter speed check confirms if the timer is<br />

interrupted within the proper interval.<br />

The interrupt processing routine of 8259A is set to the special<br />

setting, only the Count "0" output of the timer is placed into the<br />

interrupt enable status, and the Count "0" value is checked.<br />

a. Starting with a Count value of 50, it is checked whether an<br />

interrupt occurs during 3memoryrefresh intervals. The<br />

program displays an error message if no interrupt occurs.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 104 :<br />

: 8254 TIMER COUNTER ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

b. Starting with a Count value of 250, it is checked whether an<br />

interrupt does not occur during 4 memory refresh intervals,<br />

then it is checked whether an interrupt occurs during 6<br />

intervals. If an error detected, the program displays an<br />

error message identical to that of Item a.<br />

13) 80286 Protect Mode Check<br />

The 80286 protect mode check performs a WRITE/READ check on the<br />

80286 protect mode register as weIl as a check on the execution<br />

status of the instructions during protect mode.<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-35


DIAGRAMS AND<br />

REFERENCE MATERIALS<br />

REV.A<br />

a. The transition into protect mode is checked. The Global<br />

Descriptor table and Interrupt Descriptor table are prepared,<br />

then execution shifts from real mode to protect mode. At this<br />

point, the program displays an error message if the PE bit of<br />

the Machine Status Word does not become "1".<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 115 :<br />

: 80286 PROTECT MODE ERROR 2 :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

b. The interruption of protect mode is checked. The program<br />

displays an error message if the interrupt processing routine<br />

set at the Interrupt Descriptor table in protect mode is not<br />

executed within the prescribed time.<br />

The error message is identical to that of Item a.<br />

c. A WRITE/READ check is performed on LDTR (Local Descriptor<br />

table register), and the program displays an error message if<br />

the values do not match.<br />

The error message is identical to that of Item a.<br />

d. A WRITE/READ check is performed on TR (Task register), and the<br />

program displays an error message if the values do not match.<br />

The error message is identical to that of Item a.<br />

e. A SET/RESET check is performed on DF (Direction flag), and the<br />

program displays an error message if the set status and the DF<br />

flag of the CPU do not match.<br />

The error message is identical to that of Item a.<br />

f. A boundary check is performed using the BOUND instruction,<br />

then the absence of an out-of-boundary interrupt (INT 5) is<br />

confirmed by checking the data within boundaries and the<br />

occurrence of an out-of-boundary interrupt is confirmed by<br />

checking the out-of-boundary data.<br />

The error message is identical to that of Item a.<br />

g. The operation of the PUSHA and POPA instructions is checked.<br />

The register values are changed after execution of PUSH A,<br />

POPA is executed, then it is checked whether the register<br />

values prior to PUSHA execution match the respective register<br />

values.<br />

The error message is identical to that of Item a.<br />

h. The operation of the VERR and VERW instructions is checked.<br />

The setting of the Writable bit of the access right byte to<br />

the Descriptor table is checked using the VERR and VERW<br />

instructions, then it is checked whether testing of the<br />

reading access right byte and writing access right byte of<br />

the segments can be properly performed.<br />

The error message is identical to that of Item a.<br />

I<br />

I<br />

I<br />

I<br />

7-36


REV.A DIAGRAMS AND REFERENCE MATERIALS<br />

i. The operation of the ARPL instruction is checked. Using the<br />

ARPL instruction, the operation is checked for the case where<br />

the requested privi1ege level is adjustab1e and the case where<br />

it is not adjustab1e.<br />

The error message is identica1 to that of Item a.<br />

j. The operation of the LAR instruction is checked. The access<br />

right byte is loaded using the LAR instruction and is checked<br />

for being the prescribed va1ue. The program displays an error<br />

message if the access right bytecannot be read or if it is<br />

not the prescribed va1ue.<br />

The error message is identica1 to that of Item a.<br />

k. The operation of the LSL instruction is checked. The segment<br />

limit is loaded using the LSL instruction and is checked for<br />

being the prescribed va1ue. The program displays an error<br />

message if the segment limit cannot be read or if it is not<br />

the prescribed va1ue.<br />

The error message is identica1 to that of Item a.<br />

1. A WRITE check is performed on the test data whi1e changing<br />

the segment se1ector. The program displays an error message<br />

if the segment se1ector has not proper1y changed.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 114 :<br />

: 80286 P ROTECT MODE ERROR 1 :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

m. The system is returned to real mode by system reset. The stack<br />

segment and stack pointer are reset, then this checking<br />

operation is terminated.<br />

I<br />

I<br />

I<br />

I<br />

7-37


DIAGRAMS ARD REFERENCE MATERIALS<br />

REV.A<br />

2 • Memory Check<br />

This module performs a WRITE/READ check on the Ramdom Access<br />

Memory (RAM) in block units (1 block = 64 KB). The remainder of<br />

this section describes the procedures for each checking operation.<br />

1) 64 KB Check of "OOOOOh" - "OFFFFh"<br />

a. The contents of "OOOOOh" - "OFFFFh" are evacuated to<br />

"20000h" - "2FFFFh".<br />

b. The test data, "55aah", is written to the entire 64KB area,<br />

the 1/0 check and RAM Parity check are enabled, then a VERIFY<br />

check and parity check are performed.<br />

If an error is detected, the program displays following error<br />

message:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 201 :<br />

: xxxxx yyyy zzzzzz ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

(xxxxx represents the absolute address where the error detected,<br />

yyyy represents the error data [any bit that is not "I"], and<br />

zzzzzz is either "PARITY" in case of a parity error or "MEMORY" in<br />

case ofa VERIFY error.)<br />

c. Using "Oaa55h" as the test data, acheck identical to that of<br />

Item b. is performed.<br />

d. Using "010Ih" as the test data, acheck identical to that of<br />

Item b. is performed.<br />

e. The test data, "5555h" and "Oaaaah", is written sequentially<br />

to the entire 64 KB area, then a parity check is performed.<br />

Next, the test data is read and a VERIFY check is performed.<br />

The test data "OOOOh" is written and a parity check is<br />

concurrently performed.<br />

f. The test data "OFFFFh" is written to the leading and trailing<br />

words of the 64 KB block and test data "OOOOh" is written to<br />

the other words. Next, the test data is read, then a VERIFY<br />

check and parity check are performed.<br />

g. If the check of the 64 KB block is normally terminated, the<br />

contents of "20000h" - "2FFFFh" are re-written to "OOOOOh" ­<br />

"OFFFFh".<br />

h. Next, a message indicating the completion of the first 64 KB<br />

block check is displayed on the screen.<br />

I<br />

I<br />

I<br />

I<br />

7-38


HEV.A DIAGRAMS AND REFERENCE MATERIALS<br />

+---------------------------------_._---------------------~--------+<br />

I<br />

I<br />

: 000064 KB OK :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

2) 64 KB Check of "10000h" - "1FFFFh"<br />

a. The contents of "10000h" - "1FFFFh" are evacuated to "30000h"<br />

- "3FFFFh".<br />

b. to f. A RAM check identical to that of Item 1) is performed.<br />

g. If the check of the 64 KB block is normally terminated, the<br />

contents of "30000h" - "3FFFFh" are re-written to "10000h" ­<br />

"1FFFFh".<br />

h. Next, a message indicating the completion of the second 64 KB<br />

block check is displayed on the screen.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: 000128 KB OK :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

3) 64 KB Check of "20000h" - "2FFFFh"<br />

a. The contents of "20000h" - "2FFFFh" are evacuated to "30000h"<br />

- "3FFFFh".<br />

b. to f. A RAM check identical to that of Item 1) is performed.<br />

g. If the check of the 64 KB block is normally terminated, the<br />

contents of "30000h" - "3FFFFh" are re-written to "20000h" ­<br />

"2FFFFh".<br />

h. Next, a message indicating the completion of the third 64 KB<br />

block check is displayed on the screen.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: 000192 KB OK :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

4) 64 KB Block Check of Address "30000h" and Onward<br />

The CMOS settings are read, the highest RAM address is<br />

calculated, then a RAM check identical to Item 1) is performed in<br />

64 KB units up to that highest address.<br />

Each time a 64 KB check is completed, the program displays<br />

following message.<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-39


DIAGRAHS AND<br />

REFERENCE MATERIALS<br />

REV.A<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: XXXXXX KB OK :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

(The XXXXXX represents the total size of the checked memory block.)<br />

5) Lastly, a parity check is performed by word unit. Each time a<br />

64 KB check is completed, the program displays following message.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: XXXXXX KB OK :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

(The XXXXXX represents the total size of the checked memory block.)<br />

NOTE:The base memorysize is checkedusing int 12h.The expansion<br />

memory size is checked by reading CMOS offset 30h and 31h.<br />

In case an expanded memory is installed, the memory check is<br />

performed in protect mode of 80286.<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-40


REV .A DIAGRAKS AND REFERENCE MATERIALS<br />

3. Keyboard Check<br />

This module checks the operating status of the keyboard as well<br />

as the input of each key. The remainder of this section describes<br />

the checking procedures.<br />

(In case of test multiple times, only keyboard function check is<br />

performed.)<br />

1) Keyboard Function Check<br />

a. The keyboard is read without pressing any keys, and the<br />

program displays an error message if the input status is not<br />

empty.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 301 l<br />

: 8042 ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

b. The self-test command is output to the keyboard. The program<br />

displays an error message if the Normal Termination code is<br />

not returned.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 301 :<br />

: 8042 ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

c. The interface test command is output to the keyboard. The<br />

program displays an error message if the Normal Termination<br />

code is not returned.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 301 :<br />

: KEYBOARD ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

d. The keyboard functions are disabled, then the response from<br />

the keyboard is checked. The program displays an error<br />

message if the keyboard is in data ON status with the keyboard<br />

clock in OFF status. The error message is identical to that<br />

of Item c.<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-41


DIAGRAMS AND REFERENCE MATERIALS HEV.A<br />

e. The keyboard functions are enabled, then the reset command is<br />

output to the keyboard. The program displays an error message<br />

in case there is no ACK response or in case the resetting<br />

generates a BAT Completion code. The error message is<br />

identical to that of Item c.<br />

2) Keyboard Lock Check<br />

a. First, determine whether or not to check the keyboard lock.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Do you wish to check the keyboard lock (Y/N)? :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

When "Y" is input after the prompt, the keyboard lock check is<br />

executed. When "N" is input, the keyboard lock check is not<br />

performed.<br />

b. When the keyboard lock check is begun, the following message<br />

is displayed, so insert the key into the front panel and turn<br />

it to lock the keyboard.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Lock the keyboard using the front-panel key :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

The program displays an error message if the keyboard is not<br />

locked within the prescribed time.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

l Error code = 303 :<br />

: KEYBOARD LOCKING ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

c. When the keyboard becomes locked, the following message is<br />

displayed, so turn the front-panel key to unlock the keyboard.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Unlock the keyboard :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

The program displays an error message if the keyboard is not<br />

unlocked within the prescribed time. The error message is<br />

identical to that of Item b.<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-42


REV.A<br />

DIAGRAMS AND REFERENCE MATERIALS<br />

3)<br />

Keyboard Input Check<br />

First of all, select the keyboard type according to country.<br />

+-----------------------------------------------------------------+<br />

KEYBOARD<br />

SELECT MENU<br />

1 - US ASCII<br />

2 - United Kingdom<br />

3 - French<br />

4 - German<br />

5 - Italian<br />

6 - Spanish<br />

o - Exit<br />

Enter selection number:<br />

+-----------------------------------------------------------------+<br />

When the keyboard type is selected, the OF2h command code is<br />

output to the connected keyboard, and the keyboard is judged as<br />

being of old type (89 keys) or new type (101 or 102 keys) by its<br />

response.<br />

+------------------+-------------------------------+<br />

I Response I Keyboard Type :<br />

+------------------+-------------------------------+<br />

: only ACK I Old type (89 keys) :<br />

: ACK, ABh, 41h I New type (101 or 102 keys) :<br />

+------------------+-------------------------------+<br />

The keyboard layout corresponding to the judged keyboard type<br />

is displayed. By pressing an arbitrary key, the character<br />

corresponding to the pressed key top will be displayed on the<br />

screen.<br />

When "y" and ENTER is input, the check is normally terminated.<br />

If "N" and ENTER is input in the case that the pressed key top and<br />

the displayed character are different, the program displays<br />

following message:<br />

+-----------------------------------------------------------------+<br />

KEYBOARD<br />

CHECK<br />

Error code = 302<br />

KEYBOARD IS NON-STANDARD, OR<br />

KEYBOARD IS DEFECTIVE.<br />

+-----------------------------------------------------------------+<br />

7-43


DIAGRAMS AND<br />

REFERENCE MATERIALS<br />

HEV.A<br />

REMARK: During the keyboard input check, the interrupt vector of<br />

keyboard input is rewritten, the scan code of the keyboard<br />

is directly read, then the corresponding character is<br />

displayed.<br />

a. Layout of old-type keyboard<br />

+-----------------------------------------------------------------+<br />

KEYBOARD<br />

CHECK<br />

Press Y followed by ENTER to exit.<br />

Press N followed by ENTER if screen andkeyboard do not match.<br />

+-----------------------------------------------------------------+<br />

b. Layout of new-type (lOl-key) keyboard<br />

+-----------------------------------------------------------------+<br />

KEYBOARD<br />

CHECK<br />

Press Y followed by ENTER to exit.<br />

Press N followed by ENTER if screen andkeyboard do not match.<br />

+-----------------------------------------------------------------+<br />

7-44


REV .A<br />

DIAGRAMS AN» REFERENCE MATERIALS<br />

c. Layout of new-type (102-key) keyboard<br />

+-----------------------------------------------------------------+<br />

KEYBOARD<br />

CHECK<br />

Press Y followed by ENTER to exit.<br />

Press N followed by ENTER if screen andkeyboard do not match.<br />

+-----------------------------------------------------------------+<br />

7-45


DIAGRAKS AND<br />

REFERENCE MATERIALS<br />

HEV.A<br />

4. Monochrome Display Adapter and CRT Check<br />

This module checks the monochrome display and its adapter.<br />

First, select which check to perform from the menu.<br />

+-----------------------------------------------------------------+<br />

MONOCHROME ADAP TER AND CRT CHECK MENU<br />

1 - Monochrome adapter check<br />

2 - Attribute check<br />

3 - Character set check<br />

4 - Video check<br />

5 - Sync check<br />

6 - Run all above checks<br />

o - Exit<br />

Enter selection number:<br />

+-----------------------------------------------------------------+<br />

(In case of test multiple times, only the monochrome adapter check<br />

is performed.)<br />

The remainder of this section describes each of the checking<br />

procedures.<br />

1) Monochrome Adapter Check<br />

When the monochrome adapter check is selected, the program<br />

displays following message:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: MONOCHROME ADAP TER CHECK :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

a. A WRlTE/READ check is performed on the VRAM area used for the<br />

monochrome display.<br />

i) The Video Enable signal of the monochrome monitor is set to<br />

OFF. Next, the test data "OOh" is written to and read from<br />

the entire VRAM area ("BOOOOH" - "BOFAOH"), and a comparison<br />

check is performed.<br />

If the compared data do not match, the program displays<br />

the address generating the mismatch, the written data, and<br />

the read data.<br />

I<br />

I<br />

I<br />

I<br />

7-46


REV.A DIAGRAMS AND REFERENCE MATERIALS<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 401 :<br />

: V-RAM ERROR address BOOOH:XXXX :<br />

: write data YY read data ZZ :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

(XXXX represents the VRAM offset address that generated the<br />

mismatch, YY represents the written data, and ZZ represents the<br />

read data.)<br />

ii) Next, using the test data "55h" , an identical check is<br />

performed.<br />

iii) Next, using the test data "Oaah", an identical check is<br />

performed.<br />

iv) Next, using the test data "Offh", an identical check is<br />

performed.<br />

I<br />

I<br />

I<br />

I<br />

Lastly, "OOh" is written to the entire VRAM<br />

area.<br />

b. Black/White mode check of CRT status port<br />

The Video Enable signal of the monochrome monitor is set to<br />

ON status, then the program displays following message:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: MONOCHROME ADAPTER CHECK :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

Next, "Offh" is written to the latter half of the VRAM area<br />

("B07DOH" - "BOFAOH") for the monochrome display, and the CRT<br />

status port of 6845 is checked.<br />

A Black/White Video signal that changes to "1" from "0" is<br />

normal. The program displaysfollowing error message if the<br />

Black/White Video signal remains "0" (LOW) or "1" (HIGH):<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 402 :<br />

: VIDEO SIGNAL ALWAYS LOW :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

or<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 402 :<br />

: VIDEO SIGNAL ALWAYS HIGH :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-47


DIAGRAMS AND<br />

REFERENCE MATERIALS<br />

REV.A<br />

Lastly, "OOh" is written to the latter half C"B07DOH" ­<br />

"BOFAOH") of the VRAM area and this check is terminated.<br />

2) Attribute Check<br />

When the attribute check is selected, the various types of<br />

attributes that can be displayed are shown on the monochrome<br />

display using characters.<br />

+-----------------------------------------------------------------+<br />

ATTRIBUTE CHECK<br />

NORMAL INTENSITY<br />

HIGH INTENSITY<br />

BLINKING<br />

REVERSE<br />

UNDERLINED<br />

Is the display correct CY/N)?<br />

+-----------------------------------------------------------------+<br />

Confirm whether each attribute is accurately displayed, then<br />

input the answer.<br />

If "N" is input, the program displays an errormessage.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 403 :<br />

: ATTRIBUTE ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

3) Character Set Check<br />

When the character set check is selected, the entire character<br />

set from "OOh" to "Offh" is displayed on the screen.<br />

Confirm whether the character set is accurately displayed, then<br />

input the answer.<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-48


REV.A<br />

DIAGRAHS AN» REFERENCE MATERIALS<br />

+-----------------------------------------------------------------+<br />

CHARACTER SET CHECK<br />

( <strong>Al</strong>l character set )<br />

1s the display correct (Y/N)?<br />

+-----------------------------------------------------------------+<br />

1f "N" is input, an error message is displayed.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 404 i<br />

i CHARACTER SET ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

4) Video Check<br />

When the video check is selected, the following screen is<br />

initially displayed with a high-intensity foreground color and a<br />

black background color:<br />

I<br />

I<br />

I<br />

I<br />

7-49


DIAGRAMS AND REFERENCE MATERIALS<br />

HEV.A<br />

+-----------------------------------------------------------------+<br />

BLACK<br />

+-----------------------------------------------------------------+<br />

When any key is pressed, the following screen is displayed<br />

with a black foreground color and a high-intensity background color:<br />

+-----------------------------------------------------------------+<br />

INTENSIFIED WHITE<br />

+-----------------------------------------------------------------+<br />

Pressing any key terminates this operation.<br />

7-50


REV .A DIAGRAMS AND REFERENCE MATERIALS<br />

5) Sync Check<br />

When the sync check is selected, the following screen is<br />

displayed. Check this screen for any discrepancies in the<br />

synchronization of all lines.<br />

+-----------------------------------------------------------------+<br />

+-----------------------------------------------------------------+<br />

6) Run <strong>Al</strong>l Above Checks<br />

When "Run all above checks" is selected, the checks described<br />

in Items 1) to 5) are consecutively executed.<br />

7-51


DIAGRAMS AB» REFERENCE MATERIALS<br />

REV.A<br />

5. Color Graphics Adapter and CRT Check<br />

This module checks the color display and its adapter.<br />

First, select which check to perform from the menu.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

COLOR GRAPHICS ADAPTER AND CRT CHECK MENU<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

1 - Color graphics adapter check<br />

2 - Attribute check<br />

3 - Character set check<br />

4 - 40-column character set check<br />

5 - 320X200 graphics mode check<br />

6 - 640X200 graphics mode check<br />

7 - Screen paging check<br />

8 - Light pen check<br />

9 - Color video check<br />

10 - Sync check<br />

11 - Run all above checks<br />

o - Exit<br />

Enter selection number:<br />

+-----------------------------------------------------------------+<br />

(In case of test multiple times, only the color graphics adapter<br />

check is performed.)<br />

The remainder of this section describes each of the checking<br />

procedures.<br />

1) Color Graphics Adapter Check<br />

When the color graphics adapter check is selected, the program<br />

displays following message:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: COLOR ADAP TER CHECK :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

At this point, a WRITE/READ check is performed on the VRAM<br />

area used for color graphics.<br />

a. The Video Enable signal of color graphics is set to OFF. Next,<br />

the test data "OOh" is written to and read from the entire<br />

VRAM area ("B8000H" - "B9F3FH" and "BAOOOH" - "BBF3FH") used<br />

for color graphics, and a comparison check is performed.<br />

If the compared data does not match, the program displays the<br />

address generating the mismatch, the written data, and read<br />

I<br />

I<br />

I<br />

I<br />

7-52


REV.A<br />

DlAGRAHS AHn REFERENCE MATERIALS<br />

data.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 501 :<br />

: V-RAM ERROR address B800H:XXXX :<br />

: write data YY read data ZZ :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

(XXXX represents the address generating the mismatch, YY represents<br />

the written data, and ZZ represents the read data.)<br />

b. Next, using the test data "SSh", an identical check is<br />

performed.<br />

c. Next, using the test data "Oaah", an identical check is<br />

performed.<br />

d. Next, using the test data "Offh", an identical check is<br />

performed.<br />

Lastly, "OOh" is written to the entire VRAM area, the Video<br />

Enable signal is set to ON, and this check is terminated.<br />

I<br />

I<br />

I<br />

I<br />

7-53


DIAGRAMS AN» REFERENCE MATERIALS<br />

REV.A<br />

2) Attribute Check<br />

When the attribute check is selected, the various types of<br />

attributes that can be displayed using color graphics are shown<br />

using characters. (40 x 25 character mode)<br />

+-----------------------------------------------------------------+<br />

I<br />

ATTRIBUTE CHECK<br />

HIDRHAINTElIßJlnTY<br />

BLINKING<br />

BLACK<br />

BLUE<br />

GREEN<br />

CYAN<br />

RED<br />

MAGENTA<br />

BROWN<br />

WHITE<br />

GRAY<br />

LIGHT BLUE<br />

LIGHT GREEN<br />

LIGHT CYAN<br />

LIGHT RED<br />

LIGHT MAGENTA<br />

YELLO\'1<br />

WHITE (High intensity)<br />

Is the display correct (Y/N)?<br />

+-----------------------------------------------------------------+<br />

Confirm whether each attribute is accurately displayed, then<br />

input the result. (The display has returned to the 80 x 25<br />

character mode.)<br />

If "N" is input, the program displays an error message.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 503 :<br />

: ATTRIBUTE ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

3) Character Set Check<br />

When the character set check is selected, the entire character<br />

set from "OOh" to "Offh" is displayed on the screen.<br />

Confirm whether the character set is accurately displayed,<br />

then input the answer.<br />

I<br />

I<br />

I<br />

I<br />

7-54


REV.A DIAGRAMS AND REFERENCE MATERIALS<br />

+-----------------------------------------------------------------+<br />

CHARACTER SET CHECK<br />

( <strong>Al</strong>l character set )<br />

1s the display correct (Y/N)?<br />

+-----------------------------------------------------------------+<br />

1f "N" is input, the program displays an error message.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 504 :<br />

: CHARACTER SET ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

4) 40-Column Character Set Check<br />

When the 40-column character set check is selected, the entire<br />

character set from "OOh" to "Offh" is displayed on the screen in<br />

40-column mode.<br />

I<br />

I<br />

I<br />

I<br />

7-55


DIAGRAMS<br />

AN» REFERENCE MATERIALS<br />

REV.A<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

40-COLUMN CHARACTER SET CHECK<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

( <strong>Al</strong>l character set in 40-column mode )<br />

1s the display correct (Y/N)?<br />

+-----------------------------------------------------------------+<br />

1f "N" is input, the program displays an error message.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 505 :<br />

: 40-COLUMN CHARACTER SET ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

5) 320 x 200 Graphics Mode Check<br />

When the 320 x 200 graphics mode check is selected, the<br />

following image pattern is displayed on the screen.This screen<br />

has been created by writing directly to VRAM. (Color setting = "0")<br />

I<br />

I<br />

I<br />

I,<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-56


REV.A DIAGRAMS AND REFERENCE MATERIALS<br />

+-----------------------------------------------------------------+<br />

320X200 GRAPH1CS MODE<br />

COLOR SET 0<br />

CHECK<br />

+----------------+ +-----------------+<br />

GREEN<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

+-----------------+<br />

RED<br />

+------------- --------------+<br />

BROWN<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

+-----------------+<br />

1s the display correct (Y/N)?<br />

CYAN<br />

+-----------------------------------------------------------------+<br />

Confirm whether the pattern is accurately displayed, then<br />

input the answer.<br />

1f "N" is input, the program displays an error message.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 506 :<br />

: 320X200 GRAPHICS MODE ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

I<br />

I<br />

7-57


DIAGRAMS AND REFERENCE MATERIALS HEV.A<br />

Next, change the color setting to "1" to display the same<br />

image pattern on the screen.<br />

+-----------------------------------------------------------------+<br />

320X200 GRAPH1CS MODE<br />

COLOR SET 1<br />

CHECK<br />

+----------------+ +-----------------+<br />

CYAN<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

+-----------------+<br />

MAGENTA<br />

+------------- --------------+<br />

WHITE<br />

1s the display correct (Y/N)?<br />

+-----------------+ RED<br />

+-----------------------------------------------------------------+<br />

Confirm whether the pattern is accurately displayed, then<br />

input the answer.<br />

1f "N" is input, the program displays an error message.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 506 :<br />

: 320X200 GRAPH1CS MODE ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

6) 640 x 200 Graphics Mode Check<br />

When the 640 x 200 graphics mode check is selected, the<br />

following image pattern is displayed on the screen. This screen<br />

has been created by writing directly to VRAM. (High-resolution mode)<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-58


REV.A<br />

DIAGRAMS AHn REFERENCE MATERIAL~<br />

+-----------------------------------------------------------------+<br />

640X200 GRAPH1CS MODE<br />

CHECK<br />

+----------------+ +-----------------+<br />

(a)<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

+-----------------+<br />

(b)<br />

+------------- --------------+<br />

(c)<br />

+-----------------+<br />

1s the display correct (Y/N)?<br />

+-----------------------------------------------------------------+<br />

(a) Coarse stripes (b) Fine stripes (c) Completely filled<br />

Confirm whether the pattern is accurately displayed t then input<br />

the answer.<br />

1f "N" is input t the program displays an error message.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 507 :<br />

l 640X200 GRAPH1CS MODE ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

7) Screen Page Check<br />

When the screen page check is selected t the characters "0" ­<br />

"7" are respectively written to Pages 0 - 7 t then the screen paging<br />

according to the changing of pages is checked.<br />

I<br />

I<br />

I<br />

I<br />

7-59


DIAGRAMS AND<br />

REFERENCE MATERIALS<br />

REV.A<br />

+-----------------------------------------------------------------+<br />

SCREEN PAG1NG<br />

CHECK<br />

xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx<br />

XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXX (a) XXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX<br />

Press any key for next page<br />

+-----------------------------------------------------------------+<br />

(a) The numeral corresponding to each page no. is displayed.<br />

After completing the check up to Page 7, confirm whether the<br />

screen paging was accurately displayed, then input the answer.<br />

+-----------------------------------------------------------------+<br />

SCREEN P AG1NG<br />

CHECK<br />

XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXX (a) XXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX<br />

XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX<br />

1s the display correct (Y/N)?<br />

+-----------------------------------------------------------------+<br />

1f "N"<br />

is input, the program displays an error message.<br />

7-60


HEV.A DIAGRAMS AND REFERENCE MATERIALS<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 508 :<br />

: SCREEN P AGING ERROR l<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

8) Light P en Check<br />

When the light pen check is selected, you must next specify<br />

whether or not to actually perform the check.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: LIGHT P EN CHECK l<br />

: Enter Y to start light pen check. :<br />

l Enter N to return to the menu. :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

Next, a white block is displayed on the the screen, so press<br />

the light pen on the center of each block. The address that is<br />

read from light pen is checked.<br />

+-----------------------------------------------------------------+<br />

+---+<br />

: c i<br />

+---+<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

+---+<br />

l b<br />

i<br />

+---+<br />

+---+<br />

: a :<br />

+---+<br />

PLACE LIGHT PEN ON<br />

CENTER OF WHITE BLOCK<br />

+-----------------------------------------------------------------+<br />

Check the three blocks in the order of a - b - c.<br />

The program displays an error message if the position where the<br />

block is displayed and the light pen position do not match.<br />

7-61


DIAGRAMS AN» REFERENCE MATERIALS<br />

REV.A<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 509 :<br />

: LIGHT PEN ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

9) Color Video Check<br />

When the color video check is selected, the display of the<br />

background color is changed in the sequence below:<br />

I<br />

I<br />

I<br />

I<br />

1. Black<br />

2. Blue<br />

3. Green<br />

4. Cyan<br />

5. Red<br />

6. Magenta<br />

7. Brown<br />

8. White<br />

9. Gray<br />

10. Light blue<br />

11. Light green<br />

12. Light cyan<br />

13. Light red<br />

14. Light magenta<br />

15. Yellow<br />

16. White (high intensity)<br />

After all of the background colors are displayed, confirm<br />

whether they have been accurately displayed then input the answer.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Is the display correct (Y/N)? :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

If "N" is input, the program displays an error message.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 510 l<br />

: COLOR VIDEO ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

10) Sync Check<br />

When the sync check is selected, the following screen is<br />

displayed. Check this screen for any discrepancies in the<br />

synchronization of all lines.<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-62


HEV.A DIAGRAMS AN» REFERENCE MATERIALS<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

I<br />

III<br />

+-----------------------------------------------------------------+<br />

11) Run <strong>Al</strong>l Above Checks<br />

When "Run all above checks" is selected, the checks described<br />

in Items 1) to 10) are consecutively executed.<br />

7-63


DIAGRAMS<br />

AND REFERENCE MATERIALS<br />

KEV.A<br />

6. Floppy Disk Drives and Controller Check<br />

This module checks the floppy disks and floppy disk drives.<br />

First, select which check to perform from the menu.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

FLOPPY DISK DRIVE(S) AND CONTROLLER CHECK MENU<br />

1 - Sequential seek check<br />

2 - Random seek check<br />

3 - Write, read check<br />

4 - Speed check<br />

5 - Disk change check<br />

6 - Run all above checks<br />

I<br />

II<br />

I<br />

I<br />

I<br />

I<br />

I<br />

o - Exit<br />

Enter selection number:<br />

I<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

(In case of test multiple times, the following checks are<br />

consecutively performed.)<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: 1 - Sequencial seek check :<br />

: 2 - Random seek check :<br />

: 3 - Write, read check :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

The remainder of this section describes each of the checking<br />

procedures.<br />

1) Sequential Seek Check<br />

Initially, the number of floppy disk drives installedis<br />

checked by using Equipment Determination (int 11h). The program<br />

displays following message if two drives are installed, so input<br />

the name of the drive to be checked:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Check which drive (<strong>Al</strong>B)? :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

The sequential seek check sequentially searches from the<br />

cylinder position of the innermost periphery to that of the outermost<br />

periphery for the head, then checks the seek operation. This check<br />

is performed with respect to Head "0" and "1".<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-64


REV.A DIAGRAMS ARD REFERENCE MATERIALS<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: SEQUENTIAL SEEK CHECK :<br />

I<br />

I<br />

: Current track is xxxx :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

(The xxxx represents the number of the sought cylinder.)<br />

The program displays an error message if the Floppy Disk<br />

Controller (FDC) assumes one of the following statuses:<br />

1. The FDC main status remains BUSY.<br />

2. The FDC is not in Output Ready status when the program<br />

going to output a command.<br />

3. The FDC is not in Input Ready status when the program going<br />

to input status.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: FLOPPY DISK DRIVE(S) AND CONTROLLER CHECK :<br />

: Error code = 601 :<br />

: FLOPPY DISK CONTROLLER ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

The program displays an errormessage also when the seek<br />

operation of the FDC after performing head seek is not normally<br />

terminated.<br />

+-----------------------------------------------------------------+<br />

FLOPPY DISK DRIVE(S) AND CONTROLLER CHECK<br />

Error code = 602<br />

SEQUENTIAL SEEK ERROR<br />

TRACK -- xx<br />

SIDE --- Y<br />

+-----------------------------------------------------------------+<br />

(xx represents the error cylinder number and y representsthe error<br />

head number.)<br />

2) Random Seek Check<br />

The random seek checking procedure is identical to that of<br />

Item 1), except for the procedure that the seek operation is<br />

performed in randominstead of sequentially.<br />

The messages are also identical except that "SEQUENTIAL SEEK"<br />

is replaced by "RANDOM SEEK". (Error code = 603)<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-65


DIAGRAHS AND REFERENCE MATERIALS<br />

REV.A<br />

3) WRITE/READ Check<br />

The drive is selected as in Item 1). When a drive is<br />

selected, precautions pertaining to the start of the check<br />

operation are displayed.<br />

+-----------------------------------------------------------------+<br />

Use only a formatted blank diskette for this test.<br />

Any data present may be erased.<br />

If using drive A,<br />

remove your Diagnostic Disk.<br />

Enter Y to start this check.<br />

Enter N to return to the menu.<br />

+-----------------------------------------------------------------+<br />

The WRITE/READ ;heck is performed by writing and reading Head<br />

Nos. "0" and "1", alternately, to and from for all sectors of each<br />

cylinder, from the innermost cylinder to the outermost cylinder [0].<br />

The check data is "6db6h".<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

I 1<br />

: WRI TE, READ CHECK :<br />

I<br />

I<br />

: Current track is xx :<br />

I<br />

I<br />

I 1<br />

+-----------------------------------------------------------------+<br />

(The xx represents the number of the checked cylinder.)<br />

If an error is detected during the writing or reading of data,<br />

the program displays following message:<br />

+-----------------------------------------------------------------+<br />

FLOPPY DISK DRIVE(S) AND<br />

Error code = 604<br />

WRITE ERROR<br />

TRACK xx<br />

SECTOR - Y<br />

SIDE --- z<br />

CONTROLLER CHECK<br />

+-----------------------------------------------------------------+<br />

(xx represents the error cylinder number, y represents the error<br />

sector number, and z represents the error head number.)<br />

I<br />

I<br />

7-66


REV.A DIAGRAMS ARD REFERENCE MATERIALS<br />

+-----------------------------------------------------------------+<br />

I<br />

FLOPPY DISK DRIVE(S) AND CONTROLLER CHECK<br />

Error code = 605<br />

READ ERROR<br />

TRACK -- xx<br />

SECTOR - Y<br />

SIDE --- z<br />

+-----------------------------------------------------------------+<br />

(xx represents the error cylinder number, y represents the error<br />

sector number, and z represents the error head number.)<br />

4) Speed Check<br />

The drive is selected as in Item 1). The speed check performs<br />

60 times one-sector reading operationsof Sector 1 of Cylinder<br />

No.32, Head No. 0, and the program measuresthe time for this<br />

operation using the Channel 0 of 8254.<br />

Measurement is performed by counting the 8254 interrupt, which<br />

occurs every 1/18 second, and correcting by adding the number of<br />

the final Timer Count value. Finally the program displays the<br />

revolution speed in rpm (revolution per minutes).<br />

expression:<br />

(60 [times])/(interrupt count)*(18 [sec/times])*(60 [sec])<br />

+-----------------------------------------------------------------+<br />

The disk rotation speed should be more than xxx.x rpm and<br />

less than yyy.y rpm<br />

The disk rotation speed is now zzz.z rpm<br />

Press any key to return to the menu<br />

+-----------------------------------------------------------------+<br />

(xxx.x represents the lower-limit revolution value, yyy.y represents<br />

the upper-limit revolution value, and zzz.z represents the current<br />

revolution value.)<br />

The lower-limit revolution value is 294.0 for 360 KB drive and<br />

720 KB (3.5"), 352.8 for 1.2 MB. (Normal revolution value - 2%.)<br />

And the upper-limit revolution value is 306.0 for 360 KB drive and<br />

720 KB (3.5"), 367.2 for 1.2 MB. (Normal revolution value + 2%.)<br />

7-67


DIAGRAHS AND<br />

REFERENCE MATERIALS<br />

HEV.A<br />

If a floppy disk is not installed or a read error is detected,<br />

the program displays following message:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Disk is defective or not installed properly. :<br />

: Press ENTER to return to the menu. :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

5) Disk Change Check<br />

The drive selection is identical to that of Item 1). The disk<br />

change check can only be performed for 1.2 MB and 720 KB drives.<br />

The program performs read DASD type command of int 13h to confirm<br />

whether the specified drive is change line available or not.<br />

Ifthedriveisno change line available,theprogram<br />

displays following message, allowing you to return to the menu.<br />

+-----------------------------------------------------------------+<br />

Drive X is no change line available.<br />

DISK CHANGE is not allowed with this drive.<br />

Press ENTER to return to the menu.<br />

+-----------------------------------------------------------------+<br />

(The X represents the drive name of the specified floppy disk.)<br />

If the drive is change line available, the program displays<br />

following message, then remove the disk from the drive:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Remove the disk from drive X. :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

(The X represents the drive name of the specified floppy disk.)<br />

If the floppy disk is not removed within the prescribed time,<br />

the program displays following error message:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 606 :<br />

: DISK CHANGE CHECK :<br />

: REMOVE ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

When the floppy disk is removed, the program displays following<br />

message, then re-insert the disk into the drive:<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-68


REV.A DIAGRAMS AND REFERENCE MATERIALS<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Re-insert the disk into drive X. :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

(The X represents the drive name of the specified floppy diskJ<br />

If the floppy disk is not re-inserted within the prescribed<br />

time, the program displays following error message:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 607 I<br />

: DISK CHANGE CHECK :<br />

: INSERT ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

REMARKS:<br />

The disk change check reads the Digital Input Register of<br />

the FDC, then checks the disk change flag of Bit 7.<br />

6) Run <strong>Al</strong>l Above Checks<br />

When "Run all above checks" is selected, the checks described<br />

in Items 1) through 5) are consecutively executed.<br />

7-69


DIAGRAHS AND<br />

REFERENCE MATERIALS<br />

REV.A<br />

7. Katb Coprocessor Check (80287)<br />

This module checks the mathematic coprocessor (80287).<br />

When this module is called, the following message is displayed:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: 80287 COPROCESSOR TEST :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

1) Coprocessor Installation Check<br />

a. CMOS RAM Setting Check<br />

First, Equipment Determination of int Ilh is called to check<br />

whether or not the coprocessor is installed.<br />

If the the coprocessor not installed, the program displays<br />

following error message:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 701 :<br />

: COPROCESSOR NOT INSTALLED :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

b. Check by Initialization<br />

The Initialize instruction (finit) is output to the<br />

coprocessor, then the status word of the coprocessor is read<br />

(fstsw) to check the installation status.<br />

If all error bits are not read as "0", the program displays<br />

following error message:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 701 :<br />

: COPROCESSOR NOT INSTALLED :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

2) Initialization Check of Coprocessor<br />

The Initialize instruction (finit) is output to the<br />

coprocessor, then the status word of the coprocessor is read (fstsw)<br />

to check the initialization status.<br />

If the all error bits are not read as "0" with BUSY = "0" and<br />

ST = "0", the program displays fo11owing error message:<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-70


REV.A DIAGRAMS AND REFERENCE MATERIALS<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 702 :<br />

: COPROCESSOR INITIALIZE ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

3) Invalid Operation Mask Check (1)<br />

<strong>Al</strong>l exceptional interrupts of the coprocessor are masked, then<br />

operation is performed with an empty register to generate a stack<br />

underflow.<br />

At this time, if the IR (Interrupt Request) bit of the status<br />

word of the coprocessor is "1", the program displays following error<br />

message:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 703 :<br />

: COP ROCESSOR INVALID OPERATION MASK ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

4) Invalid Operation Mask Check after Clearing of Exceptional Bits<br />

<strong>Al</strong>l exceptional bits are cleared (fclex), then processing<br />

identical to that of Item 3) is performed.<br />

At this time, if the IR bit of the status word of the<br />

coprocessor is "1", the program displays following error message:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 703 :<br />

: COPROCESSOR INVALID OPERATION MASK ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

5) ST Field Check<br />

Two items of integer data are loaded (fild) and added (fadd).<br />

At this time, if the ST field value of the status word of the<br />

coprocessor is "6", the program displays following error message:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 704 :<br />

: COPROCESSOR ST FIELD ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-71


DIAGRAMS AN» REFERENCE MATERIALS<br />

HEV.A<br />

6) Exceptional Data Comparison Check (1)<br />

The data from the stack underflow status of Item 3) is compared<br />

with"l"(fcom), iftheydo notmatch, theprogramdisplays<br />

following error message:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 705 :<br />

: COPROCESSOR COMP ARISON ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

7) Zero Division Mask Check<br />

<strong>Al</strong>l exceptional interrupts of the coprocessor are masked, then<br />

"1" is divided by "0" to generate a zero divide exception.<br />

At this time, if the IR (Interrupt Request) bit of the status<br />

word of the coprocessor is "1", the program displays following error<br />

message:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 706 :<br />

: COPROCESSOR ZERO DIVIDE MASK ERROR :<br />

I<br />

I<br />

+----------------------------------------~------------------------+<br />

8) Exceptional Data Addition Check<br />

The data items from Item 7) that resulted in zero division are<br />

added together.<br />

At this time, if the IR (Interrupt Request) bit of the status<br />

word of the coprocessor is "1", the program displays following error<br />

message:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 707 :<br />

: COPROCESSOR ADDITION ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

9) Exceptional Data Subtraction Check<br />

The data items from Item 7) that resulted in zero division are<br />

subtracted from each other.<br />

At this time, if the IR (Interrupt Request) bit of the status<br />

word of the coprocessor is "1", the program displays following error<br />

message:<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-72


REV.A DIAGRAMS AND REFERENCE MATERIALS<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 708 :<br />

: COP ROCESSOR SUBTRACTION ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

10) Exceptional Data Multiplication Check<br />

The data from Item 7) that resulted in zero division is<br />

multiplied by "0".<br />

At this time, if the IR (Interrupt Request) bit of the status<br />

word of the coprocessor is "I", the program displays following error<br />

message:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 709 :<br />

: COPROCESSOR MULTIPLICATION ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

11) Invalid Operation Mask Check (2)<br />

<strong>Al</strong>l exceptional interrupts of the coprocessor are masked, then<br />

"0" is divided by "0" to generate an invalid operation exception.<br />

At this time, if the IR (Interrupt Request) bit of the status<br />

word of the coprocessor is "1", the program displays following error<br />

message:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 703 :<br />

: COPROCESSOR INVALID OPERATION MASK ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

12) Exceptional Data Comparison Check (2)<br />

The data from Item 7) that resulted in zero division is<br />

compared with "0" (fcom), and the program displays an error message<br />

if they do not match:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 705 I<br />

: COPROCESSOR COMP ARISON ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-73


DIAGRAMS AN» REFERENCE MATERIALS<br />

REV.A<br />

13) Mathematic Function Check<br />

Addition, subtraction, multiplication, division, and the square<br />

root operation are performed, then the precision is checked.<br />

The program displays an error message if the mathematic result<br />

is incorrect.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 710 :<br />

: COPROCESSOR PRECISION ERROR :<br />

I<br />

I<br />

I ~<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

7-74


REV .A<br />

DIAGRAMS AHn REFERENCE MATERIALS<br />

9. Parallel Port (Printer Interface) Check<br />

This module performs a loop-back check on the parallel port<br />

(printer interface).<br />

Before starting this check, attach the loop-back connector to<br />

the parallel port. The pin connection of the loop-back connection<br />

shoud be as folIows:<br />

+----------------+------------+------------+----------------------+<br />

: Signal Name : PIN Number : PIN Number : Signal Name :<br />

+----------------+------------+------------+----------------------+<br />

: Strobe : 1


DIAGRAMS AN»<br />

REFERENCE MATERIALS<br />

HEV.A<br />

If an unmatching bit is detected after performing the<br />

comparison, the corresponding pin number is displayed in an<br />

error message.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: PARALLEL PORT CHECK :<br />

: Error code = 901 :<br />

: ERROR PIN xx :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

(The xx represents the pin number corresponding to the unmatching<br />

bit. )<br />

b. Next, using the test data "SS h" , an identical check is<br />

performed.<br />

2) WRITE/READ Check of Control Port<br />

a. The test data "Oah" is output to the control port (37 A), then<br />

compared with the input from the same port. The configuration<br />

of the control port is as folIows:<br />

+----------+----------+---------------------------+<br />

: Bit no. : PIN no. : Signal Name :<br />

+----------+----------+---------------------------+<br />

o 1 Strobe :<br />

1 14 Auto Feed :<br />

2 16 Ietialize Printer :<br />

3 17 Select Input l<br />

4 IRQ Enable :<br />

5 not use l<br />

6 not use :<br />

7 not use :<br />

+----------+----------+---------------------------+<br />

If an unmatching bit is detected after performing the<br />

comparison, the corresponding pin number is displayed in an<br />

error message. The error message is identical to that of<br />

Item 1).<br />

b. Next, using the test data "05h", an identical check is<br />

performed.<br />

3) Loop-back Check of Control Signal<br />

a. The test data "Oah" is output to the control port (37A) and<br />

the test data "Olh" is output to the data output port (378).<br />

Next, input from the status port (379); the result is OK if<br />

Bits 7, 4, and 3 are "I" and Bits 6 and 5 are "0". The<br />

configuration of the status port is as folIows:<br />

I<br />

I<br />

I<br />

I<br />

7-76


HEV.A<br />

DIAGRAKS AN» REFERENCE MATERIALS<br />

+----------+----------+---------------------------+<br />

: Bit no. : PIN no. : Signal Name :<br />

+----------+----------+---------------------------+<br />

: 0 not use<br />

: 1 not use<br />

: 2 not use<br />

: 3 15 Error<br />

: 4 13 Se1ect<br />

l 5 12 P.END (out of paper)<br />

: 6 10 Acknow1edge<br />

l 7 11 Busy<br />

+----------+----------+---------------------------+<br />

If an error is detected, the error message that identica1 to<br />

that of Item 1) is disp1ayed.<br />

b. The test data "05h" is output to the contro1 port (37~ and<br />

the test data "OOh" is output to the data output port (378).<br />

Next, input from the status port (379); the resu1t is OK if<br />

Bits 7, 4, and 3 are "0" and Bits 6 and 5 are "1".<br />

If an error is detected, the error message that identica1 to<br />

that of Item 1) is diap1ayed.<br />

c. Test data is output to the contro1 port and data output port<br />

so that each signal of the status port goes ON, then the<br />

resu1ts are checked.<br />

If an error is detected, the error message that identica1 to<br />

that of Item 1) is disp1ayed.<br />

7-77


DIAGRAMS AND<br />

REFERENCE MATERIALS<br />

HEV.A<br />

11. Serial Port (RS-232C) Check<br />

This module performs a loop-back check of the serial port (RS­<br />

232C port).<br />

Before starting this check, attach the loop-back connector to<br />

the serial port.<br />

The pin connection of the 9 pins loop-back connector is as<br />

folIows:<br />

+---------------------+----------+----------+-----------------+<br />

l Signal Name lPIN NumberlPIN Number: Signal Name l<br />

+---------------------+----------+----------+-----------------+<br />

: Transmit Data : 3


HEV.A DIAGRAMS AND REFERENCE MATERIALS<br />

When CTS = 1:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 1101 :<br />

: ERROR RTS CTS, CTS ALWAYS HIGH :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

b. DTR = 1 and RTS = 1 are output, then DSR and CTS are input.<br />

The results are OK if both DSR and CTS equal "I". An error<br />

message is displayed if either signal equals "0".<br />

When DSR = 0:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 1101 :<br />

: ERROR DTR DSR, DSR ALWAYS LOW :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

When CTS = 0:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 1101 I<br />

: ERROR RTS CTS, CTS ALWAYS LOW :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

2) Data Transfer Check using Various Baud Rates<br />

The setting is fixed to even parity, two stop bits, and an<br />

eight-bit data length. The check data is "00" - "FFh".<br />

A timeout check during data transmission and reception and a<br />

comparison check of the sent data and received data is performed.<br />

The baud rates for performing the checks are used in the order<br />

below:<br />

+------------+<br />

: 75 bps<br />

: 110 bps<br />

: 150 bps<br />

: 300 bps<br />

: 600 bps<br />

: 1200 bps<br />

: 2400 bps<br />

: 4800 bps<br />

: 9600 bps<br />

+------------+<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-79


DIAGlU\MS AND REFERENCE MATERIALS HEV.A<br />

When the check is started, the following message appears:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

I<br />

SERIAL PORT CHECK<br />

RS232C echo back check at various baud rates<br />

Current baud rate is xxxx<br />

Current test data is yy<br />

I<br />

I<br />

I<br />

I<br />

I<br />

II<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

(xxxx represents the baud rate used for the current check, and yy<br />

represents the test data.)<br />

The program displays an error message if either the TX Shift<br />

Register Empty status is not assumed during data transmission or<br />

the Data Ready status is not assumed during data reception.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 1102 :<br />

: TIME OUT ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

Thesent data and received data is compared,and theprogram<br />

displays an error message if they do not match.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

1103<br />

Error code =<br />

VERIFY ERROR<br />

Sent data<br />

Redeived data<br />

xx<br />

yy<br />

+-----------------------------------------------------------------+<br />

(xx represents the sent data and yy representes the received data.)<br />

3) Data Transfer Check using Various Data Lengths, Stop Bits, and<br />

Parity<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

II<br />

I<br />

I<br />

I<br />

I<br />

I<br />

The baud rate is fixed to 9600 bps.<br />

data varies according to the data length.<br />

The scope of the check<br />

+-------------------+-------------------+<br />

: data length : check data :<br />

+-------------------+-------------------+<br />

: 8 bits : 00 - FFh :<br />

: 7 bits : 00 - 7Fh :<br />

: 6 bits : 00 - 3Fh :<br />

: 5 bits : 00 - IFh :<br />

+-------------------+-------------------+<br />

7-80


REV.A DIAGRAMS AND REFERENCE MATERIALS<br />

A timeout check during data transmission and reception and a<br />

comparison check of the sent data and received data is performed.<br />

The data transfer conditions to be checked consist of the<br />

following combinations:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

No parity --\<br />

I<br />

I<br />

Odd parity --\<br />

1-­ Data length 5 bits I<br />

I<br />

Even parity --+-+-­ Stop bit 1 --+-+--­<br />

6 bits I<br />

I<br />

Parity 0 ----I \­ Stop bit 1.5 -I \--<br />

7 bits IIIII<br />

Parity 1 ---I<br />

or 2 \-<br />

8 bits<br />

I<br />

+-----------------------------------------------------------------+<br />

When the check is started, the following message appreas:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

SERIAL PORT CHECK<br />

RS232C echo back check -- with various data format<br />

Current data format: w data bits, x stop bits, parity -<br />

Current test data is zz<br />

yyyy<br />

I<br />

I+-----------------------------------------------------------------+<br />

(w represents the data length of the current check, x represents<br />

the stop bits, yyyy represents the parity bit setting of NONE, ODD,<br />

EVEN, SP ACED or MARKED, and zz represents the test data.)<br />

In case of a timeout error detected during data transfer or<br />

mismatching of sent data and received data, the error message<br />

identical to that of Item 2) is displayed.<br />

7-81


DIAGRAMS<br />

AN» REFERENCE MATERIALS<br />

REV.A<br />

12. <strong>Al</strong>ternate Serial Port Check<br />

This module performs a loop-back check of the alternate serial<br />

port (alternate RS-232C port).<br />

Before starting this check, attach the loop-back connector to<br />

the alternate serial port, then issue the instruction to start the<br />

check.<br />

+-----------------------------------------------------------------+<br />

ALTERNATE SERIAL PORT CHECK<br />

Attach loop-back connector to alternate serial port.<br />

Enter Y to start this check when connector is attachd, or<br />

Enter N to return to the menu.<br />

+-----------------------------------------------------------------+<br />

Since the checking procedure for the alternate serial port is<br />

identical to that for the serial port, its checking procedure is<br />

omitted here.<br />

Only the differences are in port numbers and in the message<br />

titles:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 1201 :<br />

: ERROR DTR DSR, DSR ALWAYS HIGH (or LOW) :<br />

: ERROR RTS CTS, CTS ALWAYS HIGH (or LOW) :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Error code = 1202 :<br />

: TIME OUT ERROR :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

+-----------------------------------------------------------------+<br />

Error code = 1203<br />

VERIFY ERROR<br />

Sent data<br />

Received data<br />

xx<br />

yy<br />

+-----------------------------------------------------------------+<br />

(xx represents the sent data and yy represents the received data.)<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-82


REV .A DIAGRAMS AND REFERENCE MATERIALS<br />

14. Dot-Matrix Printer Check<br />

This module performs a printing check of the printer's with<br />

ASCII characters and bit images data.<br />

Before starting this check, connect the printer to the parallel<br />

port, then issue the instruction to start.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: DOT-MATRIX PRINTER CHECK :<br />

I<br />

I<br />

: Is dot-matrix printer on-line (Y/N)? :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

The procedures for checking the printer are as foliows:<br />

(In case of test multiple times, only the printer connection check<br />

is performed.)<br />

1) Printer Connection Status Check<br />

A NULL code is output to the printer and the printer status is<br />

checked. If an error is detected, the corresponding error message<br />

is displayed.<br />

+-----------------------------------------------------------------+<br />

DOT-MATRIX PRINTER CHECK<br />

Error code = 1401<br />

Status Time out error<br />

Status 1/0 error<br />

Status Not on-line<br />

Status Acknowledge error<br />

Status Busy<br />

Status Out of paper<br />

+-----------------------------------------------------------------+<br />

2) Printing Check of Printer<br />

ASCII characters and bit images are printed repeatedly by the<br />

printer, then you can check the printing results:<br />

+-----------------------------------------------------------------+<br />

Print test data<br />

Text data (20H-7FH,AOH-FFH)<br />

Bit-image data (OOH-FFH)<br />

Press any key to return to the menu.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-83


DIAGRAMS AND REFERENCE MATERIALS<br />

REV.A<br />

a. Printing Check of ASCII Characters<br />

The ASCII characters "20H"-"7FH" and "AOH"-"FFH" are printed<br />

by the printer. The data is printed after printing the<br />

following title:<br />

+---------------------------------------------~-------------------+<br />

I<br />

I<br />

: Text data (20H-7FH,AOH-FFH) :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

Printing is performed at 80 columns per line. If an error is<br />

detected during printing, an error message is displayed that<br />

is identical to that of Item 1).<br />

b. Printing Check of Bit Images data<br />

The bit images data of "OOH"-"FFH" are printed by the printer.<br />

The data is printed after printing the following message:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Bit-image data (OOH-FFH) :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

The control code for bit-image printing is "ESC K n1 n2"<br />

(specification of single-density bit images). If an error is<br />

detected during printing, an error message is displayed that<br />

is identical to that of Item 1).<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-84


REV .A DIAGRAMS AND REFERENCE MATERIALS<br />

17. Hard Disk Drives and Controller Check<br />

This module checks the hard disks and the hard disk drives.<br />

First of all, select which check is to be performed from the menu.<br />

+--------------------------------------~------------- ------------+<br />

HARn DISK DRIVE(S) AND<br />

CONTROLLER CHECK MENU<br />

1 - Seek check<br />

2 - Write, read check<br />

3 - Head select check<br />

4 - Error detection and correction check<br />

5 - Read, verify check<br />

6 - Run all above checks<br />

o - Exit<br />

I Enter selection number:<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

(In case of test multiple times, the following checks are<br />

consecutively executed in the order listed.)<br />

+-----------------------------------------------------------------+<br />

1 - Seek check<br />

2 - Write, read check<br />

3 - Head select check<br />

4 - Error detection and correction check<br />

+-----------------------------------------------------------------+<br />

Each of the checking procedures are described as foliows:<br />

1) Seek Check<br />

The quantity of installed hard disk drives is first set using<br />

function 8 of int 13. The following message is displayed in case<br />

two hard disk drives are installed, so input the name of the drive<br />

you wish to check.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: Check which drive (C/D)? :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

The seek check performs a sequential check on all heads<br />

simultaneously from the innermost cylinder position to the outermost<br />

cylinder position, then checks the seek operation.<br />

I<br />

I<br />

I<br />

I<br />

7-85


DIAGRAMS AND REFERENCE MATERIALS HEV.A<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: SEEK CHECK :<br />

I<br />

I<br />

: Current cylinder is xxx :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

(The xxx represents the number of the cylinder being sought.)<br />

If an error is detected in the seek operation t<br />

message is displayed:<br />

HARD DISK DRIVE(S)<br />

Error code = 1701<br />

SEEK ERROR<br />

CYLINDER xxx<br />

HEAD<br />

y<br />

AND<br />

CONTROLLER CHECK<br />

the following<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

I<br />

I<br />

I<br />

II<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

(xxx represents the number of the cylinder detected the error and<br />

y represents the head number.)<br />

2) WRITE/READ Check<br />

Drive selection is identical to that of Item 1). Next t a<br />

precaution is displayed when this check is started.<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

The data on the highest physical cylinder may be destroyed<br />

Enter Y to start this check.<br />

Enter N to return to the menu.<br />

by this check.:<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

The WRITE/READ check performs a WRITE/READ check for all<br />

sectors of all heads of the innermost cylinder (highest cylinder).<br />

The check data is "6db6h".<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: WRITE t READ CHECK :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

If an error is deteced during the writing or reading of data t<br />

the following message is displayed.<br />

l<br />

I<br />

I<br />

I<br />

I<br />

7-86


REV.A DIAGRAMS AND REFERENCE MATERIALS<br />

In case of write error:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

AND CONTROLLER CHECK<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

HARD DISK DRIVE(S)<br />

Error code = 1702<br />

WRITE ERROR<br />

CYLINDER xxx<br />

HEAD<br />

y<br />

SECTOR zz<br />

+-----------------------------------------------------------------+<br />

(xxx represents the number of the cylinder detected the error, y<br />

represents the head number, and zz represents the sector number.)<br />

In case of read error:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

AND CONTROLLER CHECK<br />

HARD DISK DRIVE(S)<br />

Error code = 1703<br />

READ ERROR<br />

CYLINDER xxx<br />

HEAD<br />

y<br />

SECTOR zz<br />

+-----------------------------------------------------------------+<br />

(xxx represents the number of the cylinder detected the error, y<br />

represents the head number, and zz represents the sector number.)<br />

3) Head Selection Check<br />

Drive selection is identical to Item 1). The head selection<br />

check makes each head seek the maximum cylinder position, then<br />

checks the head selection status.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: HEAD SELECT CHECK :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

If the head is not properly selected, the following message is<br />

displayed:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

HARD pISK DRIVE(S) AND CONTROLLER CHECK<br />

Error code = 1704<br />

HEAD ERROR<br />

HEAD<br />

x<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

(The x represents the number of the head detected the error.)<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-87


DIAGRAMS AND REFERENCE MATERIALS<br />

REV.A<br />

4) Error Detection/Correction Check<br />

The procedure is identical to that of Item 2) up to the<br />

display of the precaution.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: ERROR DETECTION AND CORRECTION CHECK :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

a. In the error detection check, the test data "6db6h" is<br />

prepared in the buffer then is written to the hard disk using<br />

the normal "write" instruction. Writing and reading are<br />

performed at Sector number 1 of the highest head of the<br />

highest cylinder.<br />

Next, the data is read using "read long", its leading byte<br />

is destroyed (= "2ah"), then re-written it using write long".<br />

If a READ or WRITE error occurs up to this point, an error<br />

message identical to that of Item 2) is displayed.<br />

The data is then read using the normal "read" instrucion, and<br />

the the following message is displayed if no error has been<br />

detected:<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: HARD DISK DRIVE(S) AND CONTROLLER CHECK :<br />

: Error code = 1705 :<br />

: ERROR DETECTION ERROR :<br />

I<br />

I<br />

I 1<br />

+-----------------------------------------------------------------+<br />

b. In the error correction check, the test data "6db6h" is<br />

prepared in the buffer then is written to the hard disk using<br />

the normal write instrucion. Writing and reading are<br />

performed at Sector number 1 of the highest head of the<br />

highest cylinder.<br />

Next the data is read using "read long", its leading byte is<br />

destroyed (= "6eh"), then re-written using "write long".<br />

(5 bits error.)<br />

If a READ or WRITE error occurs up to this point, a error<br />

message identical to that of Item 2) is displayed.<br />

The data is then read using the normal "read" instruction,<br />

and the read data and the test data are compared. An error<br />

message is displayed if the read data and test data do not<br />

match. Concurrently, detection of ERROR CORRECTION is also<br />

checked.<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-88


REV.A DIAGRAKS AND REFERENCE MATERIALS<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: HARD DISK DRIVE(S) AND CONTROLLER CHECK :<br />

: Error code = 1706 :<br />

: ERROR CORRECTION ERROR :<br />

I<br />

I<br />

+--------_._-------------------------------------------------------+<br />

5) READ/VERIFY Check<br />

Drive selection is identical to Item 1). In the READ/VERIFY<br />

check, all heads from the highest cylinder to Cylinder No. 0 are<br />

read in track units, and the read statuses that detected bad sector<br />

or any other READ error are preserved.<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: READ, VERIFY CHECK :<br />

I<br />

I<br />

: Current cylinder is xxx :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

(The xxx represents the number of the cylinder being read.)<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

When the reading of all cylinders up to Cylinder No.<br />

completed, the results are displayed:<br />

0 is<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: READ, VERIFY CHECK :<br />

I<br />

I<br />

: BAD TRACKS •.•...•.•..••...•. xxxx :<br />

: READ ERROR TRACKS ..•.••.••.. yyyy :<br />

: GOOD TRACKS ..•••••..•••••••• zzzz :<br />

I<br />

I<br />

: Press ENTER to return to the menu :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

(xxxx represents the total number of bad tracks, yyyy represents<br />

the total number of tracks detecting a READ error, zzzz represents<br />

total number of normal tracks.)<br />

6) Run <strong>Al</strong>l Above Checks<br />

When "Run all above checks" is selected, the preceding checks<br />

described in Items 1) to 5) are consecutively executed.<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

7-89


DIAGRAMS ABO REFERENCE MATERIALS<br />

REV.A<br />

21. <strong>Al</strong>ternate Parallel Port Check<br />

This module performs a loop-back check of the alternate<br />

parallel port.<br />

Before starting this check, attach the loop-back connector to<br />

the alternate parallel port, then issue the instruction to start<br />

the check.<br />

+-----------------------------------------------------------------+<br />

I<br />

ALTERNATE PARALLEL PORT CHECK<br />

Attach loop-back connector to alternate parallel port.<br />

Enter Y to start this check when connector is attached, or<br />

Enter N to return to the menu.<br />

+-----------------------------------------------------------------+<br />

Since the checking procedure for the alternate parallel port<br />

is identical to that for the parallel port, its checking procedure<br />

is omitted here.<br />

Only the differences are port numbers and the error message<br />

titles.<br />

I,<br />

I,<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

Data output port<br />

Status port<br />

Controll port<br />

278h<br />

279h<br />

27ah<br />

+-----------------------------------------------------------------+<br />

I<br />

I<br />

: ALTERNATE PARALLEL PORT CHECK :<br />

: Error code = 2101 :<br />

: ERROR PIN xx :<br />

I<br />

I<br />

+-----------------------------------------------------------------+<br />

(The xx represents the pin number corresponding to bit number which<br />

is detected as an error.)<br />

I<br />

I<br />

I<br />

I<br />

7-90


CHAPTER<br />

8<br />

DIFFERENCE ßETWEEN 10MHz AND 12MHz<br />

Section<br />

8.1<br />

8.1.1<br />

8.2<br />

8.2.1<br />

8.2.2<br />

8.2.3<br />

8.2.4<br />

8.2.5<br />

Title<br />

TAßLE OF CONTENTS<br />

MAJOR PARTS<br />

Excluding P.C.B. Units .<br />

COMPONENT PARTS .••••.....••...........•..•.••.•.••••••••••.<br />

ANTA Board Unit .<br />

ANT-RM Board Unit .<br />

ANT-RMA Board Unit .<br />

ANT-MT Board Unit .<br />

SPFG Board Unit .<br />

Page<br />

8.3 JUMPER SETTINGS 8-10<br />

8.3.1 ANTA Board Jumper Settings 8-10<br />

8.3.2 ANT-RM/RMA Board Jumper Settings 8-11<br />

8.3.3 SPFG Board Jumper Settings 8-12<br />

8.3.4 WHDC Board Jumper Settings 8-13<br />

8.4 COMPATIBILITY LIST 8-14<br />

8.4.1 Major Unit 8-14<br />

8.4.2 P.C.8. Unit 8-15<br />

8-1<br />

8-1<br />

8-3<br />

8-3<br />

8-6<br />

8-7<br />

8-8<br />

8-9


8.1 MAJOR PARTS<br />

8.1.1 Excluding P.C.B. Units<br />

Modifieation Substitution<br />

Unit Name Deseription Reason for Modifieation Possible<br />

10MHz 12MHz<br />

Switeh Modifieation Switch Panel Label 01 Switeh Panel Label B01 Beeause the CPU eloek No<br />

Panel the de- (Y126027051) (Y126042051) sBeed is inereased from<br />

Label seription of or 1 MHz to 12MHz.<br />

the eloek Switeh Panel Label 02<br />

speed (Y126027151)<br />

Earth Addition of No Earth Plate C is Earth Plate C is installed Countermeasure for FCC NA<br />

Plate C the Earth installed. (Y126039251)<br />

Plate C<br />

Hard Disk Modification Cable set ff5BX Cable set 1f5DY Hard Disk Cables for 12 No<br />

Cable of the Hard (Y126306000§ (Y127300300§ MHz version should be<br />

Disk Cables Cable set If BY Cable set 11 DZ longer than the 10MHz's<br />

(Y126310000) (Y127300400) beeause the loeation of<br />

the option slot eonneetor<br />

is ehanged.<br />

Serial Modifieation Serial Number Plate B06 <strong>Al</strong>phabet "A" is prefixed No<br />

Number of the Serial Serial Number Plate 03 (Y126041651) to the serial number.<br />

Plate Number Plate (Y126025251) [Old~ 010001 ---<br />

New A010001 --<br />

Code Label Modifieation No<br />

of the Code (abbreviated) (abbreviated) (abbreviated)<br />

Label<br />

SPFG Board Cireuit design SPFG board unit SPFG board unit To solve the format No<br />

modification (Y12720100001) (Y12720110000) error with the 360KB or<br />

720KB FDD at 12MHz.<br />

ROM BIOS ~1~ New Ver. Version 1.02 Version 2.00 ~1~ New version No<br />

2 Modifi- ATR-B3 ~Y126814002~ ATR-B5 ~Y126814004~ 2 Cost reduetion<br />

cation of the ATR-C3 Y126815002 ATR-C5 Y126815004 (ROM type: 27256 -><br />

ROM type ROM type: 27256-15 ROM type: 27128-15 27128)<br />

Monitor Addition of No Monitor Conneetor Cable Cable set 1f5EM Countermeasure for FCC NA<br />

Conneetor the Monitor is used. (Y126311000)<br />

Cable Conneetor<br />

Cable<br />

I<br />

...<br />

Q)<br />

:c<br />

~<br />

CJ<br />

Q<br />

."<br />

."m:cmZ(')<br />

m<br />

CJ<br />

~m mẒ<br />

.....<br />

o 3:<br />

:I:<br />

N<br />

~<br />

...<br />

Cl<br />

N<br />

3:<br />

:I:<br />

N


0)<br />

I<br />

I'\)<br />

Modification Substitution<br />

Unit Name Description Reason for Modification possible<br />

10MHz 12MHz<br />

ANT-RM Circuit design ANT-RM board unit ANT-RM board unit (1) To improve RAM No<br />

Board modification (Y12620300000) (Y12620700000) access speed<br />

(2) T9 ~djust signal<br />

tlmlng<br />

ANT-MT ~1) Cable set ANT-MT board unit ANT-MT board unit (1) Countermeasure for No<br />

Board /'5BT addition (Y12620200000) (Y12620800000) FCC<br />

(2) Modifi- (2) To allow to use a<br />

cation of the full len~th Hard<br />

location of Disk Con roller<br />

the option<br />

slot connector<br />

ANT-RMA ANT-RMA board No ANT-RMA board unit is ANT-RMA board unit To keep constant parts No<br />

Board unit newly' used. (Y12620900000) supply<br />

authorizea * This is an alternative<br />

unit for the ANT-RM board<br />

unit.<br />

ANTA Board Circuit design ANTA board unit ANTA board unit (1) To increase CPU No<br />

modification (Y12620500000) (Y12620600000) speed<br />

(2) Countermeasure for<br />

FCC<br />

~3~ Cost reduction<br />

4 Countermeasure for<br />

the genius problem<br />

(5) To im~rove the<br />

execu ion s~eed of<br />

the keyboar controller<br />

c =n<br />

'"Tl<br />

m<br />

::DmZ()<br />

m<br />

1XI<br />

~m ẓ.....<br />

o<br />

s:::<br />

::I:<br />

N<br />

» ZC<br />

......<br />

I'\)<br />

s:::<br />

::I:<br />

N<br />

::D<br />

~<br />

1XI


8.2 COMPONENT PARTS<br />

8.2.1 ANTA Board Unit<br />

Unit<br />

Description<br />

ANTA Board 1(1) Addition<br />

of a filter<br />

Y12620500000 circuit<br />

--><br />

Y12620600000<br />

Q)<br />

I<br />

(,,)<br />

Modification<br />

10MHz 12MHz<br />

R39 R39<br />

GAATCK 330hm GAATCK 560hm<br />

50 50<br />

SCLK CLK SCLK . ,<br />

B3<br />

(3C) CN1 OC) 2uH C40 1.<br />

SCLK 34b 47PF-l-<br />

6<br />

(3B)<br />

GAATRF<br />

CN1<br />

34b<br />

~A~, , 0 CLK<br />

GAATRF<br />

SCLK<br />

(1) Additional parts 6<br />

B3 (Y130202002) (3B)<br />

C40 (X221224703)<br />

(2) Parts modification<br />

R39 : 330hm ----> 560hm<br />

(X154413302) (X154415602)<br />

CN5 B1 8042<br />

~ 2uH I I<br />

1 I 1<br />

2 I J-<br />

l'"VV'o.<br />

-l<br />

B2<br />

L...--...J 1<br />

C42 2uH..1-<br />

TESTO<br />

C16<br />

47pF I (3F)<br />

-----j TEST1<br />

39<br />

m m 47pF<br />

(1) Additional parts<br />

C41 (X221221213)<br />

C42 ( " )<br />

(2) Parts modification<br />

B1 (X506000029)->(Y130202002)<br />

B2 ( " )->( " )<br />

120pF li<br />

lr C15<br />

Countermeasure for FCC<br />

Countermeasure for FCC<br />

::D<br />

~<br />

Cl<br />

Q<br />

"TI<br />

"TI<br />

m::DmZ()<br />

m<br />

~m mẒ<br />

....<br />

o s:::<br />

I N<br />

» Zo<br />

.....<br />

I\)<br />

s:::<br />

I N


Q)<br />

I<br />

~<br />

Modification<br />

Unit Description Reason for Modification<br />

10MHz 12MHz<br />

ANTA Board (3) . C


Unit Description<br />

ANTA Board (6) Modification<br />

of the<br />

CPU<br />

(7) Modification<br />

of the<br />

CPU socket<br />

(8) Deletion<br />

of the 20MHz<br />

asc circuit<br />

(9) Deletion of<br />

the resistors<br />

Modification<br />

I I IReason for Modification<br />

10MHz 12MHz<br />

Location :<br />

Part :<br />

*LCC type<br />

Location<br />

Part<br />

2A SAB80286-1-R<br />

(X400802861)<br />

or<br />

INTEL<br />

80286-10<br />

(X401802861)<br />

or<br />

AMD<br />

R80L286-10/C2H<br />

(X402802868)<br />

2A<br />

: LCC type<br />

(X6301l6802)<br />

C10<br />

~PF 12 GAATCX<br />

CR2TI R16 IX201 I<br />

20M 0 PLCC)<br />

Cost reduction<br />

This circuit is not<br />

necessary because the<br />

computer system does<br />

not use 10MHz.<br />

Countermeasure for<br />

genius problem.<br />

(10) New Key- I Location : 3F<br />

board controlley Part : C42051KA<br />

version (Y126813000)<br />

Location : 3F<br />

Part : C42051KB<br />

(Y126813001)<br />

To improve the execution<br />

speed of the keyboard<br />

controller.<br />

00<br />

I<br />

C11<br />

:D<br />

~<br />

1lI<br />

" " m<br />

:DmZ(')<br />

m<br />

~m Z<br />

Q<br />

.....<br />

o<br />

s:::<br />

J:<br />

N<br />

~<br />

C<br />

.....<br />

I\)<br />

s:::<br />

J:<br />

N


~<br />

I<br />

m<br />

8.2.2 ANT-RM Board Unit<br />

Modification<br />

Unit Description Reason for Modification<br />

10MHz 12MHz<br />

ANT-RM Board (1) Modifi- Location : 19B to 22B Location : 19B to 22B To improve RAM access<br />

cation of the Part : FUJITSU FUJITSU speed.<br />

Y12620300000 D-RAM chips MB81464-12P MB81464-10P<br />

---> (X400S84641) (X400S84643)<br />

Y12620700000 or or<br />

NEC NEC<br />

uPD41464C-12 uPD41464C-10<br />

(X400414642) (X400414641)<br />

or<br />

HITACHI<br />

HMS0464-12<br />

(X400S04641)<br />

Location : 21A and 22A Location 21A and 22A<br />

Part : NEC Part : NEC<br />

uPD4164C-12 uPD4164C-10<br />

(X40014164S) (X400141646)<br />

or<br />

MATSUSHITA<br />

MN4164P-12<br />

(X400041643)<br />

(2) Modifi- Location : RL1 Location : RL1 To adjust signal timing<br />

cation of the Part : 200ns to~e Part : 1S0ns to~e<br />

delay line chip (XS1000 20) (XS1000 90)<br />

(3) Modifi- Location : 24A and 24B Location : 24A and 24B ~1~ Cost reduction<br />

cation of the Part : ATR-B3 ~Y126814002~ Part : ATR-BS ~Y126814004~ 2 New version<br />

P-ROM ATR-C3 Y12681S002 ATR-CS Y12681S004<br />

272S~-1S to~e 27128-15 toBe<br />

VerSl0n 1. Version 2.<br />

Q<br />

"Tl<br />

"Tl<br />

m :tJ<br />

m<br />

Z()<br />

m<br />

OJ<br />

~m mẓ...<br />

o<br />

s:::<br />

I N<br />

» Zọ...<br />

I\)<br />

s:::<br />

I N<br />

:tJ<br />

~<br />

OJ


8.2.3 ANT-RMA Board Unit<br />

Modification<br />

Unit Description Reason for Modification<br />

10MHz 12MHz<br />

ANT-RMA ANT-RMA board Not installed Installed To keep constant parts<br />

Board unit newly (<strong>Al</strong>ternative unit for ANT-RM supply.<br />

authorizea board)<br />


00<br />

I<br />

00<br />

8.2.4 ANT-MT Board Unit<br />

Modifieation<br />

Unit Deseription Reason for Modifieation<br />

10MHz 12MHz<br />

ANT-MT Board (1) Addition Not installed Installed Countermeasure for FCC<br />

of the eable Part: Cable set #5BT<br />

Y12620200000 set #5BT (Y126303000)<br />

---><br />

Y12620800000 (2) Modifi- 1 2 3 4 5 6 7 8 9 1 2 3 4 5 6 7 8 9 To allow a full length<br />

eation of the Hard Disk Controller to<br />

slot loeation<br />

be installed in<br />

CN~<br />

CN~<br />

eonneetor CN8.<br />

~ ~ ~ ~ ~ ~ ~ ~<br />

~ ~ ~ ~ ~ ~ ~ ~<br />

11 11 11 11 11 11 11 11 11 11 11 11<br />

2<br />

"'Tl<br />

"'Tl<br />

m :tJ mZom<br />

m<br />

~m mẒ<br />

..<br />

o 3:<br />

I N<br />

~<br />

C<br />

...<br />

I\)<br />

3:<br />

I N<br />

:tJ<br />

~ m


8.2.5 SPFG Board Unit<br />

Modification<br />

Unit Description Reason for Modification<br />

10MHz 12MHz<br />

J<br />

SPFG Board (1) Addition of GAATFD uPD765A-2 GAATFD<br />

a delay circuit<br />

B<br />

~<br />

1= I<br />


CXl<br />

I<br />

~<br />

o<br />

8.3 JUMPER SETTINGS<br />

8.3.1 ANTA Board Jumper Settings<br />

Unit<br />

Jumper Function Factory Settings<br />

J6 J5 J4 J3 J2 J1 10MHz 12MHz 10MHz 12MHz<br />

ANTA - - - - - A Set CPU clock (6/8/10MHz) Prohibited J1: A J1: B<br />

Board - - - - - B Prohibited Set CPU clock (6/8/12MHz)<br />

- - - A - Prohibited


8.3.2 ANT-RM/RMA Board Jumper Settings<br />

Unit<br />

Jumper Function Factory Settings<br />

J7 J6 J5 J4 J3 J2 J1 10MHz 12MHz 10MHz 12MHz<br />

ANT-RM / - - - - A RAM size 640KB


CD<br />

....<br />

I<br />

I\)<br />

8.3.3 SPFG Board Jumper Settings<br />

Unit Jumper Function Factory Settings<br />

J8 J7 J6 J5 J4 J3 J2 J1 J10 J9 10MHz 12MHz 10MHz 12MHz<br />

SPFG - - - - - - A - - Primary register set (AT FDC)


8.3.4 WHDC Board Jumper Settings<br />

Unit<br />

Jumper Function Factory Settings<br />

J3 J2 J1 10MHz 12MHz 10MHz 12MHz<br />

WHDC - - B Select primary address sets


~<br />

I<br />

~<br />

~<br />

8.4 COMPATIBILITY LIST<br />

8.4.1 Major Unit<br />

Unit 10MHz 12MHz<br />

Main Power ATRPS OK OK<br />

Unit Supply ANPS OK OK<br />

K.B. ----- -------- OK OK<br />

Unit<br />

FDD 360KB MD5201-57 OK OK<br />

Unit -58 OK OK<br />

1.2MB FD1155C OK OK<br />

FD1157C OK OK<br />

MD5501-61 OK OK<br />

Display Mono MRS-MO Board OK (See ~'(1) OK (See *1)<br />

Adapter<br />

Color MRS- CR Board OK OK<br />

MGA Board OK OK<br />

EGA Board OK (See ~'(2) OK (See ~'(2)<br />

Descriptions:<br />

*1 : Unit code Y14420620000 should be used.<br />

*2 : Unit code Y12720400001 ---- Code view problem may occur.<br />

Unit code Y12720400002 ---- Code view problem is solved on this verSlon.<br />

c :;;<br />

'TI<br />

m<br />

::DmZ(")<br />

m<br />

CJ<br />

~m mZ<br />

~<br />

o<br />

s:::<br />

:I:<br />

N<br />

~<br />

C<br />

~<br />

I\)<br />

s:::<br />

:I:<br />

N<br />

::D<br />

~ OJ


(Xl<br />

....<br />

I<br />

01<br />

8.4.2 P.C.B Unit<br />

Board 10MHz 12MHz<br />

ANTA Board Y12620100000 (See *d) NG<br />

Y12620100001 (See *d) NG<br />

Y12620100002 (See j'd) NG<br />

Y12620100003 OK NG<br />

Y12620100004 OK NG<br />

Y12620S00000 OK NG<br />

Y12620600000 NG OK<br />

ANT-RM Board Y12620300000 OK NG<br />

Y12620700000 (See ''(a) OK<br />

ANT-RMA Board Y12620900000 (See ''(a) OK<br />

ANT-MT Board Y12620200000 OK (See ''(b)<br />

Y12620200001 OK (See ''(b)<br />

Y12620800000 (See "'b) OK<br />

SPFG Board Y12720100000 OK NG<br />

Y12720100001 OK NG<br />

Y12720110000 (See ''(a) OK<br />

WHDC Board Y12720300000 (See ''(c & "'d) (See ,',c & ''(d)<br />

Y12720310000 (See "'c) (See )'(c)<br />

Y12720300001 (See ''(d) (See ''(d)<br />

Y12720310001 OK OK<br />

Descriptions:<br />

)'(a : Should be "OK" but an additional compatibility check is required.<br />

*b : The location of the option-slot connectors are different.<br />

*c : XENIX problem may occur.<br />

*d : This board may not satisfy FCC standard.<br />

::Il<br />

~<br />

ClJ<br />

g<br />

""Tl<br />

""Tl<br />

m<br />

::Il<br />

m<br />

Z(")<br />

m<br />

ClJ<br />

~m mẒ<br />

...<br />

o<br />

:i:<br />

J:<br />

N<br />

:> Zọ...<br />

I\)<br />

:i:<br />

J:<br />

N


LIST OF<br />

DIAGRAMS<br />

UNIT NAME<br />

V-CODE<br />

ANTA Board Unit Y12620100000 •.•......•.••••.••..•• A-l<br />

Y12620100001 .....•...•..•......••• A-2<br />

Y12620100002 ...•..•..••..••..••.•• A-3<br />

Y12620100002A ....••.••.•••..•..••. A-4<br />

Y12620100003 ....•..•..••..•••••..• A-5<br />

Y12620100004 ...•..........•••....• A-6<br />

Y12620500000 ..•..•..••.••......••. A-7<br />

Y12620600000 ••..•.....•••••••••..• A-8<br />

ANT-RM Board Unit<br />

ANT-RMA Board Unit<br />

ANT-MT Board Unit<br />

ATRPS Unit (Major Circuit)<br />

(THIC-35 Board)<br />

(Major Ci rcuit)<br />

(THIC-35 Board)<br />

ANPS<br />

Unit<br />

SPFG Board Unit (Sheet 1/2)<br />

(Sheet 2/2)<br />

(Sheet 1/2)<br />

(Sheet 2/2)<br />

(Sheet 1/2)<br />

(Sheet 2/2)<br />

Y12620300000<br />

Y12620700000<br />

Y12620900000<br />

Yl2620200000<br />

Yl2620200001<br />

Yl2620800000<br />

Y126501000<br />

Yl2650100001<br />

Yl26509000<br />

Yl2720100000<br />

Yl2720100001<br />

Yl2720110000<br />

PAGE<br />

· . . . . . . . . . . . . . . . . . . . .. A-9<br />

· . . . . . . . . . . . . . . . . . . . .. A-IO<br />

· . . . . . . . . . . . . . . . . . . . .. A-IO<br />

· . . . . . . . . . . . . . . . . . . . .. A-l1<br />

A-ll<br />

A-ll<br />

· . . . . . . . . . . . . . . . . . . . .. A-12<br />

· . . . . . . . . . . . . . . . . . . . .. A-13<br />

· . . . . . . . . . . . . . . . . . . . .. A-12<br />

· . . . . . . . . . . . . . . . . . . . .. A-13<br />

· . . . . . . . . . . . . . . . . . . . .. A-14<br />

· . . . . . . . . . . . . . . . . . . . .. A-15<br />

· . . . . . . . . . . . . . . . . . . . .. A-17<br />

· . . . . . . . . . . . . . . . . . . . .. A-15<br />

· . . . . . . . . . . . . . . . . . . . .. A-17<br />

· . . . . . . . . . . . . . . . . . . . .. A-16<br />

A-17<br />

WHDC Board Un it Y12720300000 ...................••. A-18<br />

Y12720310000 ...........•..•...•.•. A-18<br />

Y12720300001 .......•..•...•....... A-18<br />

Y12720310001 A-18<br />

MGA<br />

kEYBOARD<br />

Board Unit<br />

FD1155C (1.2MB FDD) Unit (Sheet 1/2)<br />

(Sheet 2/2)<br />

HMD-720 (3.5 Inch HDD) Main Board<br />

MFG<br />

Board Unit<br />

Exploded Diagram (Sheet 1/2)<br />

(Sheet 2/2)<br />

Y12720400001<br />

...................................<br />

A-19<br />

A-20<br />

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. A-21<br />

A-22<br />

A-23<br />

A-24<br />

A-25<br />

A-26


1<br />

2<br />

3<br />

4<br />

+5 +5<br />

A B c o E F G H<br />

R8 Slidl! SW' ~ Rli<br />

470 ~ ~4.7K'2 :lr-----.,<br />

~I <strong>Al</strong>.8 ß AC I 60 CSPOO COLY 61<br />

i I B~ ~ ~ BC 59 CSPOI AR~~~ S,<br />

.J.. R12 RIS AROYN 4<br />

Z~ 1" 5 +5 3 Rl!Sl!1 Button +5 ZOK.'7 E SAO 1,'<br />

R7' Jl'1?F~x2 RSW ~ ~Z SW3 RH10.1 <strong>Al</strong> 3 <strong>Al</strong> SAI<br />

~7 A23 DIS 51 DIS AI7 AI7 ~ SA17 "<br />

A2Z 014 <strong>Al</strong>B S<strong>Al</strong>B<br />

~'r+ A21 013 47 l A19 l SA19<br />

~<br />

l<br />

Öri' :~~ g:~ 4 11 m otm 4<br />

'1 <strong>Al</strong>B 010 A22 8 LAU<br />

W 11 t:iHl ::~ g: +5 ~~~ 6 ~m<br />

• 1 AIS 07 ,.+-+~+J~==t=~~:~MCNRNC LL:Z 2Z 3<br />

A14 06 C2S~L.A .... VCC AOXRW<br />

<strong>Al</strong> f-1:g g: O.l)l~ .J.. GNOB SMRN 44<br />

~<br />

~n g~ 1;~~~I~~~!~~~fl~~~I~~~~It~~~I=~=:~ ~:~~<br />

A :~ 2A 00 Lt:i:\wl ~5 ~~~N x~'8:~<br />

4<br />

~<br />

:: A9


1<br />

2<br />

3<br />

4<br />

5<br />

+5 +5<br />

L<br />

A B c o E F G H<br />

R8 Slid~ SW. 1~ 'Rn<br />

470 h ~4.7:.12 :j ....----...<br />

8 8 DEN 153<br />

2 B~ -J -J 8C 59 CSPOI ARENN<br />

~ l.-dl RI2 R15 ARDVN<br />

rff Jl'1OF~x2 RSW' : ~ ~~R~i~t3BUltOn +5 20K'1~1 3 <strong>Al</strong> E ~:~ : ~<br />

+ 5 14'----<br />

OSC '~e~~en BALE -7!!~iA36fEA3 1 SA3 14<br />

TO" SCLK 10-6 A4 0 5A4<br />

C24 CR3 1~::.t.'l,T-LS 63 DCLK .- 6 A5 SA5<br />

O.l~1f 48MH: R"15-4 CUM SRDYN 10· 5 A6 8 SA6<br />

+5 ~F7ll =' CN3'~~ RSW~E~~~~~~~~l=====~~ R_H~'~~:: 'A A2 0 SA2<br />

+5 ... 34 3C OSC 47 9-~ :~ C S ~:~ ~~<br />

,,'---- •• TESTN C1M 55 ... A9 SA9 rt f---<br />

20K 14 3 I' AIO BSAIO<br />

14.31818MH~~ RMI6~~ R:SDNV 56 ~: AI m ~::~ I~~<br />

R14 ...."1.. 1 55 A<strong>Al</strong>, 3 ... R33 ACKN 7 'AIS<br />

4 ,<br />

::~<br />

HCU04 4700 AEN I


1<br />

2<br />

3<br />

4<br />

5<br />

+5 +5<br />

A B c o E F G H<br />

R8 5li~5W'RI3 ~ :,<br />

47n~ 1W-


1<br />

2<br />

3<br />

4<br />

5<br />

A B c o E F G H<br />

+5 +5<br />

R8 Slide 3, ~I<br />

SW·RI<br />

47fi h ~4.7K'2 :1,...----..,<br />

~I <strong>Al</strong> A~ .8 AC 60 CSPOO COLY 61<br />

iI I~ 12 ~ IC 5'1 CSPOI AR~~~ 15,<br />

If<br />

R12 R15<br />

~7 1.23 DIS 5'<br />

1 1 :~~ gl~ 4<br />

1 1.18 Dl0<br />

~~mAI7 09<br />

1 :1~ g~<br />

1<br />

1.14<br />

N1-l,~+101I. 13<br />

06<br />

D5<br />

r~m~~AI2 <strong>Al</strong>l<br />

04<br />

03<br />

~~:tij<strong>Al</strong>0 D2<br />

r 1.9 Dl<br />

~~:UJ:~ 2A 00 ;"~<br />

J ~t:H1A6 I.S CODITI'!!.! ..I.I!.E<br />

N!+-~II:~ M/~ 4<br />

LU~Ut::l~:~<br />

C23<br />

0.047,.<br />

~VSS<br />

,.....Ll!. vss<br />

~~<br />

wd<br />

"- 1.0 CLK<br />

L-_.,.........~<br />

.Ln :gg<br />

015 ,,-<br />

I<br />

1<br />

11<br />

dlH~~~<br />

~;b~~~l:~~I!!K~'-~'~;l<br />

0471i C19 P<br />

O. PAK 5<br />

C 45 VS5 PEAEQ<br />

IOpF VSS LCC<br />

I ~, VSS80286-1<br />

•.~C~2 68-Pin<br />

CAP<br />

~~~l with socket<br />

S<br />

CPU<br />

MS-I<br />

I I·~13 DI2<br />

11 Numerical<br />

. o~o Processor Ext.<br />

D8 DIS 1-- 015<br />

• D7 014 ~<br />

D~ 013 I--<br />

D4 DIP gg =<br />

It::;rl~g~ 80287-8 Dl0 -<br />

I' DO 40-Pin g:<br />

2DK.IS Dl Socket 07<br />

R40 06<br />

20K~ ~ g:<br />

\.-f+-+-_....._....:3~74CLK286 03<br />

J3 l D2<br />

~~C~~ 32 2 B g~<br />

LI+-+-~~rrr-lcLK<br />

J:1E iEn ~53: CKMCoi~~~t.I~214~~~~~~~~~~~~~~~~<br />

Ne ...HI..DA<br />

n NC ~<br />

+S RESti 1<br />

ARDYN.<br />

~ 5 +5 3Reset Button +5 20KI17 11<br />

R' Jl'1C?F~x2 3 R5WP 4 ~ .-a 2<br />

SW3 RHIO.. AI 3 1.' E 51.0<br />

+5 +5~p4~ 14'Q"S'C =r CN3t~P_ oie~een R5W~~~~~~~~~~'~~~~~~tt--------:~.-. aALE ., I. 1.2 <strong>Al</strong> 01 51.3 ~:~<br />

C24 CR3 1il~~~.nT'LS 63 m~ '~_: :~ 0 ~:~<br />

O.IJlIr48~ RM15-4 C48M SRDYN '0-' A6 ~ ~:~<br />

+5 34 3 C OSC 47 .. ~ :~ C S5~19<br />

" L.....- TESTN C1M S ... 1.9 ~<br />

20K 14 • 11 <strong>Al</strong>0 BSA'O<br />

14.31818MH~~ RM 16-6 RSDV - : I::<br />

CMDI<br />

i~~<br />

NPS2<br />

NNf:R<br />

31 XA2<br />

5<br />

I<br />

TC<br />

SAO<br />

A'<br />

A12<br />

13<br />

4<br />

=<br />

m<br />

?~mll~~~~~~i~~~~I~<br />

otm 1<br />

:1:<br />

~I~I~~A~117-~~IA17 E 51.17 SA 17<br />

1.22 8 LA19<br />

+s__>-4-'''I~~~ 6 t :~~<br />

...l_U.J..lu.t:=:::t:i:t~:~~:NC tm<br />

C 2~~ :u ... vcc AOXRW<br />

O,').I~ r'- GND8 SMRN 44<br />

MEMRN SMWN<br />

-- 63<br />

DIS E SDIS<br />

gl~<br />

DU<br />

Dll<br />

l~~31!f~liG24SN<br />

+5-<br />

~ VCC REAOY ... I ~<br />

o!~~' t<br />

ZOKJl:~~ ~ ~<br />

+ -C 6.r<br />

O.IJI I~<br />

MEMWN XMRN<br />

10RN XMWN<br />

10WN XIOAN<br />

DLA S8HEN<br />

GSAN XBHEN<br />

ALE GSRWN<br />

_ 1 OEN 1C NDll<br />

.... r--_-4l:..l-Ig:g: N~J~<br />

r- GND8 NAII<br />

", ~~ N:~~<br />

0 ~g:~<br />

1 SD'2<br />

OSD11<br />

~o 8 s~~~<br />

g! ~ m<br />

os A SDS<br />

04 SD4<br />

D3 5D3<br />

D2 502<br />

Dl SDI<br />

00 500<br />

25 D24S :g::<br />

DD 101012<br />

MD13<br />

4 gg~: :g:~<br />

!!!J. ~::1l, B =g:<br />

ZAa. OMD MD7<br />

~ K GMDLN MD6<br />

GMDHN MOS<br />

VCC M04<br />

VCC 10103<br />

GNDA MD2<br />

GNOA 10101<br />

GNOII MOO<br />

GAATDB<br />

~ ~:: ~ 47<br />

~~ R33 I~ A~~: S6 .. ~ :~~ ~:1~<br />

HCU04 4700,1, AEN 1'1 : 1 m ~:n<br />

""ih:. 4 I,.!oB, 1 C14M 54 ... .~ TE5TN XAO 7<br />

3 V O C p 1 ~C~ C8 HCU04 EM~~~~ ~~.r m ~:~<br />

~ ~ 10P ~33P E01068CA m~.. 1 A m<br />

r<br />

20MHZii[]~l ENASII011111!~~~'~~~~;~1~~f~~;;40·l:~m:.<br />

470ll o.l,,~ ALE n~<br />

32<br />

,;:: ... J'JJ31 C20M INTAN XA10<br />

XA5<br />

riiiillJliii +5-tii VCC DTR XA6<br />

~F']6 C~7r YCC Mil~~ GAATAB;;9~<br />

C9 SHc"~:''HC'''4 ::i:::: I ~~~N ~::~<br />

lOP* ~:+5 10RN ---ol I: C590 1l XA13<br />

R5 I~"':~ IfJ '" ~=g~ ~~:;<br />

_-f~~~~4~.7~K~~43~PCLKN CLKlil!- J'_~I' ß: GND8 XAI6<br />

~<br />

44 PCLKP .::~~ :!Ir- Wn' l'J GND8 Lg:~<br />

141 PWGD SO-N I<br />

...... S S ..... C8M SI-N<br />

r ~===~p'3~A'<br />

2i RCllGAATCK HLDA<br />

+5<br />

RSCPU<br />

R6<br />

GND8 GNDA ,'6 4.7K<br />

49 GND8 GNDA<br />

1- ~ <<br />

GAATCB<br />

AI<br />

J4<br />

J5<br />

J6<br />

1.20<br />

-<br />

~5 OEN<br />

IRD<br />

+5<br />

61<br />

RI<br />

4700<br />

n GAATIO 8 ~<br />

L... QI TM2GIij==:t:t:t:::::l±tj=ti±Jt::±It±t--f----l<br />

~~2 2C ~:~~~~l~~ä~Eg~~äf~i~~~f<br />

I<br />

L..-<br />

INTAN<br />

ASTN<br />

AEN2<br />

STBI<br />

MSTRN STl2<br />

ACKN OUT 2<br />

ENAS DAKONlUl<br />

5MI0 OAK2NIU)<br />

~:~N g~~::~: I<br />

.... ~:: E ~~~=<br />

f-'l XAO 0 A17~<br />

X<strong>Al</strong>IU) 1 A18~<br />

~~:~\O ::<br />

XA41U)9 1.2'<br />

~::~~2 1.22<br />

~ X~lUlE Im<br />

I---- X<strong>Al</strong>IU)A PCKN ,<br />

l'-'-o l XA9IU) C~~: E I<br />

"<br />

R32<br />

4,!.0.I1.<br />

..--+5<br />

~-4 +5<br />

~20K'3<br />

-I<br />

, -,oll"I +5<br />

+5<br />

RMI2..<br />

LA23 ..... ~<br />

20 ~~!~~-4t1<br />

19<br />

18 ~<br />

17 j.:.'.;<br />

20K.7<br />

AM12-9<br />

A19<br />

E<br />

AI<br />

14<br />

I.<br />

I.<br />

'I<br />

~+5<br />

t 5 - ...-l-I-I-'lI\I\r-4.;!.+ 5<br />

,.f-l rTEO OS -- r- OS IRS ~ Ir-- 15 210<br />

H ~ CLK2 g~1~t::@~IUlB~I-!!g~ 0' i- 0' 1F IR' ::~ L- t= I- r-r.;:::==::t:t:t======::::l:t:~ L.--- ~ ~~: :~:<br />

..... CLKI 3E DO '-- 00 +S,o<br />

16 GATE2 07~1~'--~1711~i D~4 07 IR7 2S_lflQ7~~E~~~~~~ - R,A'47ftn «<br />

.... ~ GATEI D6 ~ I- D6 IR6 l-- 20KI4 1f~1~~E~~~~m<br />

'-t+-t~ D4 f- 04 IA4 l-- L.---<br />

B<br />

f.--+J OU71 1.0 ~ 26 CAS11t1- SDI: ~ ~ L.--- ~ 140<br />

CLKO <strong>Al</strong> INT CAS2:li-- AM6-7 ~,-- a:<br />

+5 11.... :~ ~ I~ -<br />

FI<br />

24-PinGND~2O""'~ IRO VCC 28 20KKS 10.... - ~::<br />

36<br />

, cs 28-Pin : t - 23b<br />

Timer VSS~~).I 20Kli 22b<br />

Master I ... I ~~:<br />

I<br />

37b<br />

3Ib<br />

39b<br />

40b<br />

_---.~ ~~~ij~: 1 CSDZN 1 06 I A6 I "<br />

r---. :I XAI4 XAIO CSDIN CSI2N 7 4~0~7i~~rlR~7rn2ISi'I·~I~~~~~~~~-J<br />

D4 I R4 IR 1<br />

1 ------.1~~~~~<strong>Al</strong>l~S~21415111~~~I~~II~~~IXAII'['IIXA'~ CSllN<br />

::20::<br />

AI BI" X07 07(u) XIWNM 03 I R3 :. :~<br />

A7 87 XD6M XIRNlLO j: 02 1 E I A2 J<br />

A6 8i p;<br />

OS lAS R I<br />

1.3 83 XXD D 2 3M II R<br />

T A D<br />

S I NTA CAS,<br />

IU) ~ ..... CASO H:!:::Il~cJ<br />

:~ :~ ~g~(U» ~~~~ I C'7+ S "LI INT IJ<br />

~ DI R (U 14 \ili VCC 28 +S<br />

_ AAJ:L ~~~ V~~~ R22 V<strong>Al</strong> ~t. l C 0 3 V CN3 ~ 8259A-2MLC32<br />

XAI6 7 XAI6 S~'I":""-cC28 30K 1.!!.~ 1 ~~ "" 01<br />

SKIIN VSS:,. 0,1" t;:ii:l~:I~!~ ... 6~S~.~~O;~~~Oi::"t::~r=SPEAKERj6 ~===I:t:t::;l 28-Pinvss 14 .).1<br />

NC VSS' ~R23 312 ~ +K8D INH S SP/EN 1<br />

R37 470A L.-.:., 4NJM386 I*oa.o GND 7 TO J1 Slave<br />

+5 9100 n" ON ~~IRbS Interrupt Controll.r<br />

+5<br />

R17<br />

470A<br />

lb<br />

2b<br />

26b<br />

49b<br />

50b<br />

33b<br />

320<br />

340<br />

360<br />

4Sb<br />

46b<br />

47b<br />

350<br />

450<br />

460<br />

470<br />

210<br />

290<br />

34b<br />

10<br />

20<br />

260<br />

270<br />

490<br />

,lb<br />

lOb<br />

9b<br />

Ib<br />

7b<br />

6b<br />

Sb<br />

4b<br />

130<br />

120<br />

110<br />

100<br />

90<br />

10<br />

70<br />

60<br />

50<br />

40<br />

30<br />

20b<br />

Ub<br />

17b<br />

16b<br />

ISb<br />

14b<br />

13b<br />

370<br />

Ho<br />

390<br />

400<br />

4'0<br />

30b<br />

31b<br />

4'b<br />

32b<br />

3b<br />

42b<br />

43b<br />

44b<br />

420<br />

430<br />

440<br />

48b<br />

480<br />

300<br />

310<br />

50a<br />

22a<br />

+5 A29 lOK<br />

~Wr.....-~"'J 27 b<br />

C13<br />

+5 '-t"~ 100P<br />

C1,2 .L.+<br />

10V,.<br />

I"'!'!'~-O'<br />

1M220JJ<br />

OW5<br />

+5VDC<br />

+5VDC<br />

+ 5VDC<br />

+5VDC<br />

+5VDC<br />

8ALE<br />

10CHRDY<br />

AEN<br />

RE5ET DRV<br />

OSC<br />

DRQ3<br />

DRQ2<br />

DRQl<br />

~<br />

~<br />

rCLK<br />

GND<br />

GND<br />

GND<br />

GND<br />

GND<br />

SA19<br />

SAU<br />

5A'7<br />

SA'6<br />

51.'5<br />

SAH<br />

51.13<br />

51.'2<br />

SA11<br />

51.'0<br />

51.9<br />

SAI<br />

51.7<br />

51.6<br />

51.5<br />

SA4<br />

51.3<br />

51.2<br />

51.1<br />

SAO<br />

SD7<br />

SD6<br />

SD5<br />

SD4<br />

SD3<br />

SD2<br />

SD'<br />

SDO<br />

IRQ7<br />

IRQ6<br />

IRQ5<br />

IRQ4<br />

ülk<br />

IRQ9<br />

Hnnii<br />

1/0 CHCK<br />

DRQ7<br />

DRQ6<br />

DRQ5<br />

DACK7<br />

DACK6<br />

DACK5<br />

ORQO<br />

OACKO<br />

MEMR<br />

MEMW<br />

GND<br />

~<br />

5015<br />

SD14<br />

5013<br />

SD'2<br />

SDll<br />

SO'O<br />

SD9<br />

SDI<br />

LA23<br />

LA22<br />

LA21<br />

LA20<br />

LA19<br />

LAU<br />

LA17<br />

iinTn<br />

IAQ15<br />

IRQ14<br />

IRQU<br />

IIIQ11<br />

IRQ10<br />

51HE<br />

POWER GOOD<br />

+SVOC<br />

GNO<br />

o a:<br />

Cl:<br />

o<br />

CD<br />

~<br />

~<br />

I<br />

~<br />

Z<br />

Cl:<br />

Z<br />

o<br />

Ṉ<br />

z<br />

u<br />

o<br />

~<br />

z<br />

u<br />

TO J 1 ON<br />

ANT-MT BOA<br />

+5<br />

"<br />

c<br />

a<br />

.. ITJJJ..Uc<br />

::: "<br />

6<br />

CN5<br />

5pin DIN<br />

R31<br />

Keyboard Conneclor 1<br />

K80 CLK 1- - B2<br />

K80 DATA 2 ~ Rl<br />

KEY 31il - C16.1 ~C15<br />

[ GND 41~ 51. +5 T "Ti.7Px2<br />

VOC SL:.I .1. ~ Ar. ••<br />

TO ~ Cl'use<br />

KEY BOARD I47P<br />

~ ~ ~<br />

74ACOO 4069VBP 7407<br />

(U) •..• Internal Pul! up<br />

Pass Condensers •.••• O.I>JF x 5<br />

CN2<br />

TO<br />

CN1 ON ANT-RM BOARD<br />

EQUITY m+/ EPSON pe AX<br />

ANTA BOARD<br />

UN I T NO.<br />

Y12620100002A<br />

A-4


1<br />

2<br />

3<br />

4<br />

5<br />

+5 +5<br />

A B c o E F G H<br />

R8 Sli~SW'RI3~:1<br />

47n h l'mUK12 ., .....----....<br />

~I 1.' A~ .8 AC 60 CSPoO CO LV 61<br />

:i I Bri B~ ~ IC 59 CSPDI AR~~~<br />

IJ<br />

I...B R12 RlS ARoYN s' +5 lR~s~tButton +S20K.,7<br />

R' J'I~:~12 RSW~ <strong>Al</strong> E 51.0 11<br />

+5 111=1 I~ J 4 ~ ~2, SW3 RHIO-' 'I.: l <strong>Al</strong> 51.1<br />

+55F4~ 47n ~ CH3 RiGi-~.., .....,b RSW~~~~~~~~~~=====~~ &ALE ~~.-.MI\I\rlfrr;A3!-..;..fA2 -7 1.3 1 51.2 51.3<br />

OSC TOll· SCLK 10 I 1.4 0 51.4<br />

C24 CR3 Ill~."'l,T-LS 63 I oCLK 3: I~:: 1.5 51.5<br />

0.1111r48Mtt RM15-4 C4 '" SRoYN 1.6 8 51.6<br />

+S 34 3C OSC 47 .-: :~ C 5 ~S;197<br />

" L---- TESTN Cl'" SS L!" .) 1.9 A<br />

20K RSoV '4 ... '1 1.'0 BSA'O<br />

14.31818 MUHH7 C_Rḷ RM16-6 .: ~:2' 51.11 47<br />

~II-- 14M RSN 56 t-2 ' A,l ~::~<br />

R14 ""IM R33 '~ ACKH 7 1: 1.'4 51.'4<br />

HCU04 472~, 1, AEN 5' : ..L 1 ATAEl6SSTN ~::~<br />

....:. 4 I~" 1 C14'" 54 I~~I-~-"""'!d ~:~ 7<br />

3VOC~ 1r ~C~ TC8 HCU04 E"'~~~~ '6: ;~.~ ~~~ XA2<br />

~ "M 10P ~33P E01068CA ~':~*)4 1A m<br />

fii'i61[)I1M +S-tU- VCC oTR RS90N" XA6<br />

20MJiJ,~L l2 ENAS~~0~~~~~~~~~~i~~~~~~~t=tl~j:j=3]'iGS90N X XAS<br />

'!I"l6 C27r vcc M~l~~ GAATABX ;I,7<br />

470ll O.IJly. ALE x A<br />

,.;" .... l'I))31 C20'" INTAN =",.., XAIO<br />

Ṣ<br />

Cg rcuO'."HC·L!'04 :i::~ I 2 ~~:N ~::~<br />

10P~ *CIO+S 10RN ----.l CS'O" XA13<br />

_+«s;;~:;:;3~;PK~5~4~3 PCLKN '~!~l~<br />

1"" fJ l g:g: ::li<br />

44 PCLKP ;~~ I GNol L~:~<br />

"'1 PWGo SO-N •<br />

r-- 5 5 F-'- CI'" SI-N<br />

~<br />

r=;==='; A'~3~A1 Rc"GAATCK HLoA<br />

+S<br />

RSCPU<br />

R6<br />

GNol GNoA ~'6 4.7K<br />

49 GN01 GNoA 4<br />

t-<br />

~<br />

145·1<br />

15<br />

~<br />

13<br />

012<br />

" "<br />

A23 7 A23 DIS 5'<br />

DIS<br />

1 I :~~ gl~ 4 I 1<br />

:Elt:mA18 DIO<br />

1 :~~ g:~I~~il~'~<br />

AI7 D9<br />

A16 DI<br />

"-l:!.J.il-UUAI5 07<br />

NlJ..:J~UA 14 D6 ~f--I"" ......1<br />

A12 04<br />

<strong>Al</strong>l 03<br />

I. 1.10 13 D511~1~1<br />

D2<br />

f- A9 DI<br />

..... A6 ....I.I!.E<br />

f- A5 CODI'IN'!'A<br />

1.4 ·M/~ 4<br />

~ ~ :~ m-M<br />

,'-:~<br />

'---;-;:-.6Z.fRESET<br />

CLK<br />

INTA<br />

+.~S<br />

HRQ<br />

NM'<br />

YCC HLDA 4<br />

"'--C-,-,+ .l.--"'"i VCC .~ ~wr'<br />

O.047 Jl l:d Qm'~li~~~s:lkl<br />

~ C45 VSS PEAEO~<br />

lOJ'F VSS LCC<br />

T ~, vSS80286-1<br />

~ TC~2 68-Pin<br />

.047;1 CAP<br />

CPU<br />

AI7 1.17 E 51.17<br />

A18 05AII<br />

AI9 1 SAU<br />

~~~~I~~~~mm<br />

TC<br />

SAO <strong>Al</strong><br />

10<br />

<strong>Al</strong> ,'4<br />

XI.,<br />

51.'7<br />

1<br />

I<br />

J4<br />

JS<br />

J6<br />

l<br />

"F<br />

:1.;<br />

'r S DEN 'RD<br />

6 I<br />

~ CoLY DRDY 29<br />

~~~'~~,~~::g~= WSONIU)<br />

oCLK<br />

RSTO l'<br />

Ub<br />

10RNlU) X10RN "'W 20Ka8.... .......<br />

Ub<br />

INTANIU) X"'WN .........<br />

17b<br />

~ iöli 2 .......-5<br />

10WN(U) XMAN --.." m A""'-~~ 1 -4<br />

'6b<br />

ISb<br />

CA20CUI RFNO 4 _ AESET EOPbF I4b<br />

I3b<br />

37D<br />

~ ~'~I~~~~~~~~~~~~~~~~=~~~==========~~~~ij~t~~~'~T~:CLK 3 '=-__ . , 20Ka8-2<br />

AEN2 HAQI HRQ PI NS ..:.!-<br />

1.20 HAK I ' f HLDA<br />

~ 0~;""';-3<br />

38D<br />

- AENI lBMEN 1- A7<br />

39D<br />

r+-H--+;:(JRSTN RF2DN ~ l A' VCCl"le,:.'.-4......+S<br />

400<br />

410<br />

DTR OUT' I I_I'<br />

v- A4 OMA2 .- CU<br />

30b<br />

..- 01 A3 o.IJI<br />

~ A2 GNo.!J!-<br />

31b<br />

e_ A18237A-5 Mt!;· 4 .<br />

41b<br />

+S RI C AO(16-Bit) u - +5 ~+S Ub<br />

A20<br />

SCLK<br />

CSPDO<br />

+S ~ voo<br />

L..::~==~:,'~ g:g<br />

+S'f<br />

• AEN DRQ3 i6~DRQ3<br />

ADSTI oR02 ±"""<br />

I<br />

1-<br />

R32<br />

4,!.~n<br />

.-+5<br />

r<br />

~UL-D -,:,R:M~14~-~91~~a:a:t==t:a~~~acLK<br />

20K ~ET P'NS<br />

: ~<br />

5<br />

I:<br />

'!' +5 R"'I-4<br />

+5<br />

+5<br />

20K.4<br />

l" · mij~~<br />

I •.,.' A7:l ilfUlfli~SA::.... Ei<br />

.1" 1., VCC 31 -t-S D: .....~....~+J 17<br />

• 1.5 l 16 -<br />

A4 7 A4 2F C37 7~r;c-;,~ ~'r~ 15 ~ 3<br />

:~~.:~ GNDH' 0.111 +si ... -+5'" :~ ...<br />

B C~A~=~4EO~WS'NlU) :c;:~ 1., D: 20KI' H ..;<br />

WS2NlU) D121 ~~ OMA 1 " .... ~<br />

WS3NlU) OSOl,') 06 8237A-5 '~.... -<br />

, GAA TR F 05 ( ) 1 h.< : 7<br />

RFPO g,,7 D3 40-Pin 6 ,. _<br />

XAO 49 XAO l::::ilJ]~11l<br />

3 B<br />

~ E01069BB<br />

~~~:" ~~~~ 4<br />

ENAS DAKONIU)<br />

5""OoAK2Nlu)<br />

~:~N g:~:~~; I<br />

=~;= g::;: :~: I<br />

~~~ E ~~~:<br />

XAO 0 AI7 I<br />

XA'(UI 1 <strong>Al</strong>l ~ 1<br />

~~:~\O :~<br />

XA4lUl9 A21 7<br />

~:~:~:2 1.22<br />

~ x~lUlE Im<br />

I-- h XA8IU)A PCKN ,<br />

--lf~tt~~!!!!!"~"~D'4~8:-~B~it~~~~~_--~1_--"'~l'.~~~111]~<br />

DAEN D2 5 -<br />

GDHN R"'16-S o. DI HRQ ~ 4!§~U<br />

GOLN 53 20K ~ HLOA ~ I 3<br />

~~:~NT~~~::~~)e'~==~+~S~----+--+-h i I L.... ~<br />

0245 1016Nl:;; ,<br />

ALE R39 20K 1 20<br />

~~~A NPCSNi~4~S~~~~~~~~n] J 3m +5 RM7<br />

""Olu) CSRON ADSTI DA KO :>!!-=o +5 6 """:-9<br />

CLK A20G rf==========f~~~U±UU~l:U~9~<strong>Al</strong>EjN~lp~~ilo~~ 507 .......<br />

=~=~~ D~~~) , 3..( ~ADY +S 4 3<br />

5 ~ ~ -_-~,<br />

+++t+==t~COFF AFIDN<br />

1"" +--r v- AS 2E<br />

470n ~<br />

89 GAATIO 48 I<br />

L..- AE01 2C 01140<br />

I ~~~~N :::~<br />

RSTN STil<br />

'- Ql TM2Gi~~~~§j~~~~i~I§~~~~~~<br />

AMI2,.<br />

LAU ...... ~<br />

~~!:z~-4B<br />

20<br />

19<br />

:~.,--,-""~"'-I<br />

20K.7<br />

1 1<br />

AMI2-'<br />

+5 ::<br />

AuE<br />

~j 40-~:~~ 'g6OR17~!~r~~~~~~,lr~~='iI20IKI"13~~IIIIIIIIIIH:<br />

I-- D3 ~ 44b<br />

~ g~ ~ :::<br />

I-- l!.JI.!SAm 44D<br />

,..J~-4+....l.l.dff<br />

48b<br />

OMA Controll.r ~ +S I~:<br />

-I 48D<br />

r;:mOO~~~~3fJE~~~~~==bJJ _<br />

SOD<br />

t 5 +5<br />

, ..... 1-4 GATEI D' ~ H f- D' 'R6 201114<br />

. '2 rTEo DS ~ ,... DS IRS I<br />

L.t+--iij-g D4 :-- r- 04 IA4 "'...---<br />

03 :-- I-, 03 IA3<br />

~<br />

e<br />

CLK2 D' 01 1F IRI f- ~...---<br />

~ CLKI 3E DO D2(~Ia~!D2DO IA2 +5 ~<br />

CLKO <strong>Al</strong> INT CAS2H='S RM"7 ~,_<br />

AO 26 CASI SD,1<br />

~<br />

4<br />

S ~ lr::oo<br />

OUT' 41 N<br />

=IN' C·SO'4>-.."j.-h -<br />

oun OUTO~S ~ ."..<br />

~l<br />

A +5 ..::.<br />

RlL SP/EN a ~ ~7<br />

" GATE2 D7 'r-- 7 ~~=t: DZ-4 07 IR7 2S_IAQ7~~~~~~~~~~1b:::-::=d~~-H+R"'II1'Mr'47"":'iin<br />

8254-2 vcc 24 )& • 'f: 8259A-2 +5 ' I I...... -<br />

24-Pin GND<br />

I!l1ö.,. IAO vcc 21 20KI5 10 ...... ;.<br />

T im.r I ~ 1 cs 28-P~~s~ : ~K~<br />

I Mast.r 114<br />

.b ' ,<br />

l-f- b ..... XA9 lU) C~~:: I<br />

t= 2 ALS245 ilt XAI3 CSIIN 4' OS IRS IR:<br />

= '~§'~~lE9U~'11" XD7 XA14 xi~~~~ g~ ::~ I 11<br />

;-- 1.7 17 X06lUl URN(U) DI IAI ,.<br />

'-- A6 B6 X05IU) NC 13 DO<br />

Ilillllll~~I~IX071U) .- A , a 02 1 E IR2 ,. I<br />

'-- :'~D:~ 4 =~:~\ AT:~~46~~~t±~~~t1It1jtt=tL.tt----------~r--------l+-1-t:lE8t'·~O~"~'~HIR~O~ CAS2<br />

f=' <strong>Al</strong> Il XD2IU) ATDSt", 26. INTA CASI ~<br />

f- A2 12 ' OIIU) ATRW +S l.....J.. Im' c~~~m::::lIml~J<br />

t: A, 11 XDOIU) SPEK ~ C17 ~ Wli VCC 21 +S<br />

~ ~ ::: ;~~ V~\:'~ "~"':71"(C28 mr:~ f1~ ,~3v CN3l1~=UI~~9~ 8259A-2M~~'2<br />

,.... SIlBH VSS.:" O.IJl ~n-~~!~t8"'6~S~.~~..2!.0~·"t::!Ir:1SPEAKEA~' 28-Pinvss 14 .JI<br />

f- NC VSS' ~R23 3]2 1 T ~+KIID INH 5 SP/EN '<br />

;::: """ 470n ~ 4NJM386 GND 7 TO Jl Slav.<br />

.-- .....-- ~ ~::~ CSD2N D' IR6 '"<br />

.---.. :t XAIO CSDIN it-- 7 4~D~7i~-rIR~7~2ISI'I'~~I~~1~~~~~_J<br />

C '=' R37 "Ir ,r ONANT-LS<br />

.--- ....., +S BÖÄRÖ' Int.rrupt Controll.r<br />

i- i-, 910n<br />

i- "'"'<br />

3~<br />

0 tm<br />

~ 1.22 8 LAU<br />

lllJllU~+~s~EIj~~n RF"'ANC6 t:~~ LA22<br />

..<br />

C25 ~ ~ Ui ~~~N A~XAR2~<br />

O·'J'.lh ;~~IRN :=:<br />

"'EMWN XMAN<br />

10RN X"'WN<br />

10WN XIORN<br />

7 :~~N X~OM~~<br />

DLA SIHEN<br />

GSAN XIHEN<br />

L<br />

TTHTttt1H'-jttjj±tt==::::~ ALE GSRWN<br />

OEN 1C NDII<br />

~:.- 8~g: N~J~<br />

,~ GNDII NAI' II!<br />

" " ~~ N:~~1f1<br />

GAATCB<br />

A<br />

A<br />

3Sb<br />

lb 2b<br />

26b<br />

49b<br />

SOb<br />

320<br />

340<br />

360<br />

4Sb<br />

46b<br />

47b<br />

350<br />

450<br />

460<br />

470<br />

210<br />

290<br />

34b<br />

10<br />

20 260<br />

270<br />

490<br />

11b<br />

lOb<br />

9b Ib<br />

7b<br />

6b<br />

Sb<br />

4b 130<br />

120<br />

110<br />

100<br />

90<br />

10 70<br />

60 50<br />

40<br />

30 20b<br />

29b<br />

21D<br />

20D<br />

UD<br />

IID<br />

17D<br />

"D<br />

15D<br />

140<br />

UD<br />

24D<br />

UD<br />

Ub<br />

24b<br />

Ub<br />

22b<br />

21b<br />

36b<br />

37b<br />

38b<br />

39b<br />

40b<br />

22D<br />

OWS<br />

+SVDC<br />

+SVoC<br />

+ 5VoC<br />

+SVOC<br />

+SVoC<br />

IALE<br />

10CHRoy<br />

AEH<br />

RESET oRV<br />

OSC<br />

oRQ3<br />

oRQ2<br />

oRQl<br />

~<br />

r CLK<br />

GND<br />

GNo<br />

GNo<br />

GNo<br />

GNo<br />

SAU<br />

SAU<br />

51.17<br />

SAU<br />

51.15<br />

SAU<br />

51.13<br />

SA12<br />

SA11<br />

SAIO<br />

SA9<br />

SAI<br />

SA7<br />

SA6<br />

SAS<br />

51.4<br />

51.3<br />

SA2<br />

SAI<br />

SAO<br />

507<br />

506<br />

SOS<br />

504<br />

SD3<br />

SD2<br />

SDI<br />

500<br />

IRQ7<br />

IRQ'<br />

IAQS<br />

IAQ4<br />

~<br />

tem IAQ9<br />

nnißIi<br />

1/0 CHCK<br />

DRQ7<br />

oAQ'<br />

oAQS<br />

DACK7<br />

DACU<br />

oACKS<br />

DAQO<br />

DACIIO<br />

MEMA<br />

MEMW<br />

GND<br />

~ SDIS<br />

SOU<br />

5013<br />

5012<br />

SDII<br />

SDIO<br />

SD9<br />

SDI<br />

LAU<br />

LA22<br />

LA21<br />

LUO<br />

LAU<br />

L<strong>Al</strong>l<br />

LA17<br />

li'iIID<br />

IAQ1S<br />

IAQ14<br />

IAQU<br />

IAQII<br />

IAQIO<br />

SIIHE<br />

POWER GOOD<br />

+SVoC<br />

GND<br />

o<br />

a:<br />

O!l(<br />

o m<br />

~<br />

~<br />

1<br />

~<br />

Z<br />

O!l(<br />

Z<br />

o<br />

N<br />

z<br />

u<br />

o<br />

~<br />

z<br />

u<br />

JCN6<br />

TO Jl ON<br />

ANT-MT BOARD<br />

6 """,,4E<br />

6<br />

4ND<br />

I<br />

2~1<br />

4E<br />

."H.<br />

AZ: 47DtlI2<br />

4E _~ -r.-sK,.vboard Int.rta ,<br />

~ 11.... V'" 10 f"'"lIInA' -,- XlAL2 I 0' 07' C. ~~~~~IT-~;1I<br />

:g~ ~g 16 R21 UM<br />

AO 8)42. D5 ~g~ OSCI 2 ;~I TO BATTERY 1.4Q.,.<br />

..rsWl 3 -2l'lm\1r 1:13 fÜ-.12 PIS PI6C4al5lllAg;~f~igigE~~~=E~3ijAD3 3F D2 A02 °SS~ I!, UNC I 14 MA19SlZ \.A38 ,~60K .- .....<br />

l'I)) P14 01 Aol CKOUT 21 NC 01 02 CN4 HIli--<br />

CN5<br />

NC PU DO AoO VDD 24 6V J2 I cO:<br />

5pinDIN R31 lOKI2 NCU P12 P27 3 ;-- RnS-719 iJiQ --'!!.E..5~~19 J ;;:GND I 3276:K<br />

K~yboardC t ..........._ ......._+5 NC 27 PlI PU;-- ZOK ~- Rßn 2SBBol6-- L. ~~L.<br />

KIOCLK o~nec or I~ I A30 +S KIDCLK NC J ~JgTO ~~~3 NC OIF f+s4D6 ..-!'f ~s 3D PS IK +5 --er-' ~~~~ C12~<br />

::~DATAJ. _ C16' TC15 5 TEST! P232~NC ~:!~ JI:Rlw 1•.,;~CI1 A26 R24~ •.tC4 • 27P,<br />

I;;:; -..........- + ~40 ~ PP222122NCA20< :;;.~ 146818vssI!L 0'0047JlS1K~'OKf, ''''16VIOJl<br />

[ GNo 41~h SA +S~ ~7PI2 p.iIr<br />

voc S.L T "Po " u<br />

(Voo) P20 '" 24-Pin· A25 Ql ~2 40 13 ,.<br />

Ta Illr'" n - 55 RESET .4 .. * OK "-.<br />

TC14 C38 PAOG SYtll:. '~' D:mt CMOS & R.al 1 .Ir C ,81 1a<br />

KEY~ARO .~ ~1~~~ .- ,o-p;n'~' j~!~~~~~~~~~~A3~:~tttttfftL~~im~i·~iC~I~O~Cik~~~~~~~-~'~'~~~~~~~~~~~~~~~~~~~~~~~~~~tU<br />

:(!p<br />

~-I>-<br />

74ACOO 4069VBP 7407<br />

( u ) .... Int ~rnal Pu 11 up<br />

Pass Cond~ns~rs ..... O.l}lF. 5<br />

CN2<br />

Address : C40 '0 C44<br />

(not trac~d on aboy~ diagram )<br />

TO<br />

CNl ON ANT-RM BOARD<br />

EQUITY m+/ EPSON pe AX<br />

ANTA BOARD<br />

UN I T NO. Y12620100003<br />

A-5


.<br />

1<br />

2<br />

3<br />

4<br />

5<br />

+5 +5<br />

A B c o E F G H<br />

RS Slide SW 13 ~<br />

470 h ~ 4.7:. 1 2 q....----....<br />

r l W <strong>Al</strong> A2 Q A3 Q AC I 60 C5PDO COLY 61<br />

B B DEN 153<br />

2 B~ ~ ~ BC 59 C5PDl AAENN<br />

_ ~ A12 AIS AADYN 4 0 +5 R B + 5 20 K .17<br />

R7<br />

' Jl~Llftr :.~.x2 A5W' 5 3 esel utton AI<br />

1<br />

E 5AO ,'1<br />

~<br />

+5 p7A 14""""""'" CN3~""""':! ~ A5W~~~4~~~~§~~'~~~~~~~-------;u9'9 5<strong>Al</strong><br />

·~..'aGi-..en BALE -7 3 A3 A2 1 5A3<br />

OSC 5A2 7011 5CLK '~.: ~~ 0 ~~~<br />

14<br />

+5 11 r:T"" 3 ~ ~2 SW3 RH 10.91111131A<br />

O.lJl 7 48~ RM15-4 3 e SRDYN '~: :~ 8 5 ~:~<br />

+5 34 05C 47 4 AB 5Aa<br />

" '-- TE5TN Clt04 s§ ... A9 C 5A9<br />

20K • <strong>Al</strong>0 B5<strong>Al</strong>0<br />

14 4~~ RM16-6 A5DY 14 ~. 113 <strong>Al</strong>lS<strong>Al</strong>l 47<br />

.31818M ..., 'J4-M ASN ~S]6==============l--, .. ~ 1 : g 555 AAA ~'4~ 5~<br />

R14 1M R33 0 ACKNt 7 A14<br />

H;~O\ ~:2~2 1 AEN~§L!l +--+__+----.: 1 ~HTN ~~a<br />

~ T v .. C14t04 Et04Et04AN 54 ~ TCC ::~ 7<br />

3 VC1 ... .... C7 CS HCU04 COFF +5 TCC XA2<br />

OP ~ ;l; lo;R2 ~33P E01068CA 10 ~.l~~.. 1 A m<br />

'fl'36 C27 vcc to4~l~~ GAATABx xA<br />

A 9<br />

a<br />

47DA D.1J1:!: ALE<br />

,;;. ... ~31 C20t04 INTAN ~ XA10<br />

C9 ~Hcul: ''HC-lI'04 ~:~:= I . .-+++-+-l----4


A<br />

B<br />

c<br />

D<br />

E<br />

F<br />

G<br />

H<br />

J<br />

K<br />

L<br />

M<br />

N<br />

o<br />

p<br />

4~3 ~o5<br />

2<br />

3<br />

4<br />

5<br />

6<br />

7<br />

8<br />

C24 0.1_<br />

1~~~~~~~~~~~i~~~~~i;;~~~~;~~~~~~'~'III~~I~~~~II!!!!!!!!!!!!!~~'IIII!!~~~~~~~~~~~~~~~~~~~t~[rI~;!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!II~~~iRj3~2'4:7~0lI!III!~~~:E:~:~~~<br />

35b<br />

~ ClIPDO R2 IK --olb<br />

71T Sc C~ :~ --------t-+-t---......-W"v--~~,s:=8 ~~b<br />

~ 13CI ARDYII RIWP" .. R4 05 .S 201(-17 I.,.ft I L-======g I --o50b<br />

[1


2<br />

3<br />

4<br />

5<br />

6<br />

7<br />

8<br />

CI4<br />

0.1_<br />

r<br />

I ~<br />

A<br />

B<br />

c<br />

o<br />

E<br />

F<br />

G<br />

H<br />

4,.m3 rw--- .a<br />

1~~i~;,~~~~~iii~;;ii~;;::~;~~~~~~~~~III~~'~~~~II~~;!~~~~~~~~~~~'!IIII!!~~~~~~~~~~~~~~~~~~I~!t]~~!!!!!!!!!!!!!!!!!!!~!!!!!!!!!!!!!!!!!!!!!!!!!!!I~~~~Rj3~2;4:7'0Cl11~~~~:~~5:~:~~<br />

03Sb<br />

rin c.-oo R2 IK --0 Ib<br />

~ C_I ARt:1II .~O I .& ....a 49b<br />

C3Cl RIWP 3R...t8utton 6_ E IAO ~ 32.<br />

m~ ~: .a~~<br />

m ARDYIl :=: .a .a ~-17 ....0 (rR~~~~~~~~~~~~~~~~~~~~~i~~~~~~~~~~~~~~~~~~~~~~~~~f~]~~~~~~~f~~b:~;I ~~~:<br />

4E <strong>Al</strong>l<br />

a"<br />

"'7'-<br />

T~<br />

62 ~ vcc VCC llIIY" HL':: 1:l t-S·lI:;;;;;;;==~=~=++W 54<br />

__"'"1<br />

CI9 IöCii ~;; .~-.<br />

I VII PEREQ<br />

.0<br />

'----I~ClCl30~~;~N<br />

.-.....-=.=10V$I<br />

VII<br />

~ :~g~<br />

:~:<br />

Illilllllllllllliliil~i~~~~~tJP:JjC!LK!2~;~<br />

...... ~t~~<br />

~<br />

:~ ~ ::~<br />

~<br />

IIlT ~::~ Ht-- SDI& ._I R..,M,..•.-.... ::<br />

..o:~<br />

:~:<br />

68-PIn<br />

IIITRII ITII -;}- OUTI TO~ 2. INTA CAIO't'11~2-c:;Hh 14 8 JW ....-.... 25.<br />

SI; ACKIl E 0UT2 41 oun OU -& ~ RD SPlEN llL- I _0 13 1t:~~~ 24.<br />

J,.. ~ c~ft 80286<br />

: EIlAI DAKOIl ::? VCC ~ • XDO ClllllktJ!~ 1XDO WR 8259A-2 IRI I.a+: _" 20K"s:~ -& ~ _....-.... 23.<br />

~CAP<br />

0.047.<br />

'--+-----11"'0;-1::0 I g:: ::;:In 34 ~:028-Plnvcc ~ : RMI3 ~ 10 4 .....,...-.... ~:<br />

I C_ 0 DAK41l GIlD ~ 0.1 I Ci C3I " 93 .....,.....-.... 23b<br />

lIERIl 9 DAKIIl 11r VII ~ 82~-.-....<br />

- 2 DAK71l T1mer 14<br />

17 22b<br />

2<br />

RM4.S CPU • I E 10EN I IMosterl<br />

3o. 20K" 36b 21b<br />

j.o.e ~r",,=-----------J &IlCIN ARFHIl .., 37b<br />

D2 XAO AI7 ~IIJI ~::<br />

': : ~~-::::"1XA3 A20 :~:<br />

~ DO<br />

g; XA4 0 <strong>Al</strong>l .a RI7<br />

Nurnerlc., Proc...or Exl. ::..f., ::: 410Q<br />

1 "oe DIO & Dio - .1 Dio 101& XA7 IRII .a<br />

4 E v::=-="XAI ~CKIl .a RIIII R2I IOK<br />

0.047. k =- ~.<br />

E :3~ ~ 2 1 SW3 ~f~~~~r---f-~R"~·:"fi~~11~3~AI 0 lAI 13 l<strong>Al</strong>! I .a I'<br />

o RlWIl ~I~~~~~~~~~~l~~g~ ~ AI I :: 14 8A3 IC-I l~~;;;;=====8 33.<br />

,...!! ro;c I IIALE -7 A3 0 ~~==!l~=========::J 11-To- fJm~~:i:~8:~~ XD. AOMB89237A ~ C8-BIlI :~ 9<br />

0.1_<br />

3 1A14 1A1& 8b 7b<br />

;T;. '""" I'-"- GA ::~0IFI4~2__~X<strong>Al</strong>~IO \I XDa Il D& 40-Pln 8 _ 1A.2::<br />

'"". ~ C20II lIEIIRtI IIlTAIl 18 2ALE OE-II<br />

-& XAI2 XAII 04 ~ ~ ColG XAI3 13 XAII I XAO RF~O<br />

XAO 2K R7 -&............. ~ c~lJll~E~!IXD~'~"iD4 XDI D3 :4·~:!Eij 7 8 S<strong>Al</strong>O l<strong>Al</strong>l 4b 13.<br />

.,J,. R8 O.C r2 1 D2 HIIQ~ ,~1A1 12.<br />

4_= 10WIl 40 41 :: ::::H ~= RIII.-& -,I,- 2K 'l. .& t---< XD~ ~ If ~t:~p&!j rr-- 1A7 :~~<br />

-=:f~~~~~~g~3~ c't.:c~ - XAII 111 GDLIl TEITIl ~&I3~~2OK:=~=.~O~ t_th L-tt-----,-=....lP"t---i c:. ~ t---< Ci HLDA I ......JW....3~11 rr-- 9.<br />

I== =t~n~~::;:03_R_4 --1t-1!"-rt-., - DXA :::- I::: EI t=jt~lU1LJ~~~:~:~:JI~R~:2~~~FS~W~02~·=I~:.~t-;n:;;;witii~$~$~~~~=~~~~~~~==$~ w+ -;;&j;0~2OI-':":":"--+-D~:: 13Fl g: I",,~ :: o:g~~~::g 14 22P -;J;32.~':' ;];27P ~ ~<br />

IWI<br />

S<br />

L.! ~14<br />

CNS IlC:' -I DO<br />

DI AGI<br />

.-<br />

CKOU<br />

VDDIZ4<br />

1:::1 llC IIA19_S<br />

......<br />

02_<br />

.V J2<br />

CIl4 C<br />

R<br />

Spln DIN <strong>Al</strong>l '01( llC :'I /<br />

r<br />

~112 P27 ~3I<br />

.•- • ,,-, ••• • 11 ~ IRQ CKFI ...... I... T RIS 1 ~ DI D2 ,..0 \<br />

K.yIlo.rd Co_ct.r GND I<br />

12opJC2 •• llC 2 ~II P2. .0 IOK. 1I CI RRT r.... 25B808 Q2 7tr<br />

,~C4~I_~~"~!:±;RIOf;:~I'*==.a=~_I:~CL~K~:;~IlC:]~1~IO ~2o n llC ow .a .' ~ AB (301 PI.-- IK _0 R2.~ _<br />

CN2 TO CNI ON ANT -RM BOARD<br />

[ KEY ~: ~~~A 3•.';: ~ I< ry ...: DATA I' i~:i? ~:; 24 li~"'" .... 1lC Ir"l- ~~W CII olK R24 C4<br />

J!:1~10 .a P22 23 1lC 110.. 4 24-Pln VII ~ 0.0047. L<br />

-<br />

16V 10_<br />

4Ir, " ".. &A .a l;J, ~40 VCC ~21 22 A20G 146818 7<br />

VCC S,:::<br />

R2& lOK<br />

~~ *0-m 47P r DO '. ~~ ~" IOK 01<br />

0.1.ti 74ACOO 4069V8P 7407<br />

~:s40-PIn ls _11.1,:;<br />

J. 8042 ~17<br />

ro~~ 71=~ ~ ~ ~~_~~II~~~~~~:::-~~U~ij~~~C~M~O~S~~R~I~O~I~T~~~e~C~I~O~~~~~~7i~~~~~~~~~'~~~~~~~~~!~tLJ<br />

=-!I~~~~~~jt~~~~~i~it~~~;;;;~;;;;;;~Jkf~ttt~tt~rtt~tt~I~~~~I~~ ~ J o~ ....... ~ ~ =<br />

r-+++-+--"'4!'-1 COFF RFIDII I)f,--, ~::j:IM;::~:: 12EI -'- C33 41.<br />

r++-lr+t--,---izHI~J" OUTI I [,,- A3 H0.1. ~?:<br />

L<br />

,- I. += ~4 l=a .-<br />

GAATIO I t; mir ~t gj~~Hlf 5 ~~:~~~~j'IRRIQQ4'3sj~~~~~~~LU~===2OI


I<br />

T<br />

MD<br />

111<br />

I" 13<br />

12<br />

• 11<br />

10<br />

9<br />

•<br />

."3<br />

A<br />

....<br />

B c o E F G H<br />

, •<br />

11<br />

IID7 •• 11<br />

IID7<br />

" 3 0<br />

2<br />

1 0 •<br />

2<br />

3<br />

4<br />

5<br />

0<br />

a:<br />

Cl<br />

0 lD Z<br />

L><br />

Cl<br />

I-<br />

Z<br />

Cl<br />

Z<br />

0<br />

'"<br />

L><br />

Z<br />

JRAII~ _o4~---------------------------nr~~~~~~~~~~~~~~~~~l~=l=+-..,<br />

- ~ä 8<br />

L-1+-If-Hf---------1f-+t-+-+-----------=-=-----!..:---I-iJI ~<br />

~L~i~~~=======::::;_,<br />

11 A~<br />

r;:::i ° ° I<br />

~<br />

a°<br />

111 ......-Il 111<br />

-4A<br />

D.I,,!'1 VCC<br />

.a .11- 5A<br />

vcc<br />

.a;.0.1' -"_ IA<br />

.a O-.I~,VCC 2A<br />

0.1" YCC<br />

.a -6A<br />

0-,,, ~:VCC f-<br />

.a 7A<br />

Y! - DI h<br />

D.I"FlVCC<br />

.a eA<br />

DO<br />

I--<br />

DI<br />

DO<br />

f-<br />

Dil!­<br />

DO<br />

I-<br />

DI~<br />

50<br />

~I­<br />

- DI~ 12<br />

DO ~-4--------==1<br />

0.1" vcc 9A f-<br />

11•• -M<br />

c.=~~~... ., Be<br />

:.h<br />

I--<br />

v--~"""*'l. I Dl 1I<br />

0<br />

t==:::;::j'~ 11 ~ DO .. 1T"1 ...... ''=-'I<br />

l----~'*l; ~ DI ~<br />

l----~_!l'_l 2 I tfI<br />

f ~~~§~~0 ~<br />

...--'<br />

m Dll!- •<br />

4<br />

14<br />

13<br />

11<br />

•<br />

-<br />

Icl./ VCC<br />

" 19B<br />

~ OE M<br />

• I<br />

5 4<br />

3 4 6<br />

: 4<br />

B<br />

A7G<br />

I --H-.f0!!...,;I5l' I<br />

... b-!!.. 0<br />

~W<br />

~ VCC<br />

0.1 20B<br />

" GM)<br />

M<br />

~<br />

• A7 e<br />

Iil?~:OE 11 : B~<br />

3 : ~<br />

I ~ I<br />

° 0<br />

H-I-~ I.ClIlASii:i<br />

D~HMD<br />

, 3<br />

°<br />

~W<br />

10.1 ~'~V:CC==Ne~~<br />

~~ _21A<br />

1.-+-1----1-.1-.1.1..., ~<br />

r..-4-I-~..L..10111 • 0<br />

~ §~~~15 4 I<br />

3 6<br />

~4D12-­<br />

4<br />

4 ° I DO 114_ ,aura<br />

.-+-...~~IIRii 0<br />

~~<br />

0<br />

I-<br />

1_ 411<br />

ALE - oll r-----;II:=:II~C~C~EL:.:--;V;jjDDD1~2&~_1~.&V<br />

lfEY.9j'a&1Zi::::;l~~::::::~=============~_W-.uJ... .. .. .... 11 YDD ~<br />

:~R~:<br />

RE9 b2" 8 FUJITSU v,.<br />

022 9 18' IlI'HNIß loH L:" ~ 0.1"<br />

'Rmr 74108 MB674 ::: ~<br />

x<br />

A<br />

X<br />

A<br />

I<br />

11<br />

I<br />

,<br />

.a .a<br />

6<br />

XIIEJIIW<br />

Jion, Cf-l&L-..:..--G~===============~~....JJ<br />

~ 021 '"' llIIWIIu G lfff 114'<br />

"3 549 A48 111 TVI' I>-' fILIICI<br />

.AI8I~:ii-----lH---------------------II. ,,,,eiß A Ne !J.'-4.1.,.I'<br />

17 y 171ß T 33.34.31.41.51<br />

:: :::: M 33G ~W.I"<br />

:~ :::: EOI091EA ~ :~<br />

11 1 IIIß 64FLAT 5 40<br />

14 I~ I:: 141ß ITSUCHlY 2 AI 1I...~44~~~~~~I~I~i..1<br />

;<br />

8 22 eIß 2<br />

~ :: 16BI ~<br />

~ = ~~<br />

2 I!III 0 D D D<br />

3 KlI11"<br />

UII<br />

91R<br />

~ IIß 1111.. 4. 1 2 Jmr eurII~~~~~~~~~~~~~~~~~~~~~~<br />

u DU... , ~° ~ g wr<br />

SI j!1O .,<br />

3tlll.<br />

"y..y.. .,4_<br />

~·~21<br />

_~ vrr VCC<br />

.. ~ VPP VCC<br />

~"r - 23B o~~x; 24B<br />

.a -<br />

XA "''I ~ In 7 ..14 ~<br />

I<br />

., · 7 iI<br />

"~<br />

13 ~<br />

12 11<br />

11 6 11 6<br />

10 I. 10 10 I<br />

, I<br />

,<br />

MD ' I MD<br />

D7<br />

7 ~ i D7<br />

I<br />

5 Il • 6<br />

• 5 6 I<br />

: 4 4<br />

•<br />

4<br />

4<br />

3<br />

: lEI<br />

• 4 3<br />

3<br />

2 : !FI 2<br />

.-<br />

I I I I<br />

° ° ° °<br />

~ ~ III<br />

~:<br />

lcu f<br />

lifi _<br />

VCC 22B<br />

~f 111 M<br />

117<br />

..7B<br />

5 • r<br />

• 4 46<br />

: 4 D3b7 2 I<br />

I • I I<br />

00 1 o 2<br />

m O<br />

~ir L.-_-.....I<br />

7 O.loy In.<br />

TOKO<br />

201 JHT 2006P<br />

lTOK AOL-200SI<br />

8<br />

1<br />

XiHf uo;t13,......__.....<br />

XAO<br />

I<br />

2<br />

3<br />

" 11 ,<br />

7<br />

• 9<br />

10<br />

11<br />

12<br />

13<br />

XA<br />

'"<br />

" 111<br />

EQUITYID+/EPSON pe AX<br />

XA<br />

ANT -RM BOARD<br />

UNIT NO.Y 12620300000<br />

A-9


A<br />

B<br />

c<br />

D<br />

E F G H<br />

T<br />

III)IIS<br />

I<br />

14<br />

13<br />

12<br />

11 .....<br />

10<br />

~.3·<br />

9<br />

8 "'.3&<br />

MD7<br />

•<br />

MD7<br />

6<br />

IS432<br />

•<br />

I<br />

0<br />

•<br />

unD...,<br />

2<br />

l<br />

vcc<br />

.0 0.1_ 4<br />

GND<br />

IA<br />

3<br />

4<br />

5<br />

6<br />

7<br />

o<br />

a:<br />

«<br />

o<br />

lIIZ<br />

«U<br />

t- Z<br />

«<br />

Z<br />

o<br />

(\j<br />

Z<br />

U<br />

o<br />

t-<br />

JRAM<br />

LMEGCS o.:..I:..:O'--<br />

:~~ a.2 044<br />

.ISV b2 b23 b44<br />

.ISV<br />

~V O-"~>--1 zfz.:.,:..--I~..<br />

J J J J J<br />

RA E ER<br />

A A 0 F 0<br />

~~.,..'A~<br />

11281<br />

R.IN MA' ~:IICI I ~=~: ~::<br />

Ne.l.IICI<br />

.IS<br />

R R R R .lStRI 20K A A A<br />

° 16 .20K ° 1NE<br />

M L<br />

M<br />

oe<br />

M !.ME<br />

AOOOOOO A<br />

'1°0-000 °<br />

e<br />

I HJ2 o~ ~~<br />

J J3 R<br />

4 IS<br />

A<br />

A A<br />

~w<br />

8 ~ ~ ? B 8<br />

GNO<br />

nmiI( o-:b:.::2=2-----------------ttlitll----tlit--;:=============~::::J<br />

ALE<br />

ii5ih. b21<br />

.Il!.d,ft tiiGii<br />

X_ o·A1d!lIL__G===============~~....uJ<br />

SAI8<br />

b43<br />

17 ;1142<br />

16 ~HI<br />

15 ;}fü"<br />

14 r---+-I--------------------~<br />

13<br />

12<br />

11<br />

1098<br />

~<br />

~<br />

3<br />

2<br />

~<br />

r-t-<br />

a!l<br />

~fIF.lS.<br />

GND<br />

~::: .1 .23 .24 .27 .45<br />

GND bl b41S<br />

RESH<br />

IB<br />

b24<br />

B<br />

~~<br />

~ 74S08<br />

IICI ~iic _tU1<br />

IICI D40tU1<br />

IICIQt:" RA234111<br />

E1'112lD1<br />

E..RI<br />

NEC<br />

.EIl8 RAM RCK O ;·7r-------------- ... ..I·:L...I'EI.IlL 065006<br />

IICI<br />

olKlWI<br />

~ • ~ 504. 60<br />

XIHf o,a3g::=~~<br />

XAO<br />

I<br />

2<br />

Oi<br />

X'<br />

I<br />

R R ce E YOD<br />

21<br />

.ISV<br />

A A A A<br />

....<br />

M VOD 1.3..<br />

RFHNIIl lOH L R<br />

I<br />

0.1/1<br />

NlUI VS9<br />

FUJITSU vss<br />

M8674<br />

VS9<br />

549 48 vss<br />

.... G<br />

llIilWIiIIl<br />

tul<br />

A<br />

TR'r ~tNCl<br />

8 SAIIIlUI A Ne ~4.17.1'<br />

17tul T 33.34.38.49.51<br />

11111<br />

M<br />

48<br />

IBM<br />

141U1<br />

2<br />

MAe 44<br />

13M 7 13<br />

IftJI EOI091EA I<br />

41<br />

1 11111 64FLAT 8<br />

10<br />

1= ITSUCHIY<strong>Al</strong> 4<br />

3<br />

22 IIlUI 2<br />

7M (681 I<br />

SM 0<br />

8tUI<br />

4tU1<br />

](Dll 80<br />

~ 1IDr<br />

2IJI EER<br />

DD D D eDR<br />

ltul MIIA 48 I 2 CIJ["<br />

0111_,<br />

:Jr<br />

~° gg ft"<br />

14 7<br />

3 11 I<br />

11Y'i1Y'i. 74_<br />

I<br />

I 4.1 1<br />

N 00210<br />

V • 000<br />

lt ~<br />

.8V~"'7<br />

0.1" .Ir<br />

;Ihci<br />

RLI<br />

O"lay In"<br />

ADL-Ie05<br />

R2<br />

-<br />

F<br />

I<br />

IS A A<br />

X<br />

A<br />

I<br />

5<br />

X<br />

A<br />

I<br />

6<br />

r-~<br />

~~8~<br />

3311 ~W.14<br />

MOllS<br />

....<br />

.5 .0<br />

~~:'" ce<br />

:u ~~~<br />

0.1/1<br />

4 23A 0.1/1 24A<br />

GND<br />

"'GND<br />

.O/XAI 2 .OIXAIO<br />

XAI4<br />

AI4 7 ,,,. 7 AI4 ~<br />

13 2<br />

12<br />

13 ~<br />

5 12<br />

11<br />

I 6 11 6<br />

10 I 10 I<br />

9<br />

0 I<br />

8<br />

MD<br />

• I<br />

3<br />

8 2<br />

7 ~ D7 7 8<br />

I I I I I<br />

0<br />

0 6 8 0 6<br />

4<br />

4 4 4<br />

1<br />

4 4<br />

3<br />

3 IE)<br />

3<br />

la<br />

2" 2 2 I<br />

: IF)<br />

I<br />

I<br />

I<br />

0 Oll<br />

.. 0<br />

r;::J<br />

.8<br />

~<br />

,........z<br />

III<br />

~ VPP ~ YPP<br />

VCC<br />

VCC<br />

0.1" ~ _ 238 0.1"<br />

~- 248<br />

oS<br />

~I 2 1x..,A'<br />

AI47<br />

7 AI4 ~<br />

13 ~ "2<br />

12<br />

23<br />

12 5<br />

11 6 11 6<br />

10 I.<br />

I • I<br />

14<br />

• 10 I<br />

MO<br />

8 2<br />

' I<br />

8<br />

7 8 D7 ~ ~ D7<br />

7 8 I 8<br />

7 4<br />

I I I<br />

8<br />

8 6 8 8<br />

8 8 6<br />

4 4<br />

4<br />

4 4 4 4<br />

3 31E) 3<br />

3<br />

2 1 : IFI<br />

T<br />

1<br />

1 I I I<br />

0 0<br />

0 0<br />

~"<br />

-l er ~<br />

'llr<br />

~ 'llr<br />

-er<br />

'llr<br />

MD<br />

D~;1ii<br />

8 I<br />

4 18<br />

~~8<br />

0f!.!-2-'<br />

vcc<br />

-M<br />

...., ~ A8 8<br />

L,.----.L-J.!LI 7 8<br />

L,.----.Il-.,J,.I I I<br />

6A<br />

4A<br />

2A<br />

D1~-<br />

DO<br />

-<br />

~h-w<br />

-<br />

D1h<br />

OD<br />

-<br />

8<br />

r----;=::W t!!....-~......-----I<br />

DO<br />

0.1"<br />

0.1"<br />

.8! _<br />

0.1" !':'1 VCC<br />

.8 Ei- 5A<br />

0.1" VCC<br />

9A<br />

l.-__...IL-!,;14~ ~ 2<br />

l.---....5.--!-!'~ 4 5<br />

3 6<br />

l.---........--!-!,~ 1 i<br />

t=~=~~~ °<br />

VCC<br />

0.1"<br />

1<br />

VCC<br />

_<br />

IIA<br />

14<br />

01 h 13<br />

DOIL..---~::.,<br />

-<br />

OlL<br />

OD f!!2......1>--<br />

-<br />

---:.:I2~<br />

D1.!.....<br />

DO ..,1'-4.l.._------'I""'1<br />

I--<br />

~fh<br />

I----'<br />

Dt~<br />

~<br />

Dtth<br />

0.1" VCC<br />

oS<br />

o.l"ii: VCC<br />

oS ! 4 _ 12A<br />

O.l~VCC<br />

oS ,t.tI- 13A<br />

0.1" VCC<br />

.8 14A<br />

10A<br />

10<br />

4<br />

o<br />

.<br />

0.1 "" VCC<br />

"~ _198<br />

~; OE M<br />

8<br />

I 8<br />

8 8 I<br />

4 4 4<br />

3 3 6<br />

1 4<br />

IIA7<br />

~ ~ I<br />

.-+-+-,+oIiü.. 0<br />

lI.1 VCC<br />

228<br />

"<br />

_<br />

~. ilf M<br />

7 A7 8<br />

I 8 8<br />

8 8 I<br />

4 4 4<br />

0<br />

m<br />

~ 1WI 0<br />

CAB<br />

WE<br />

D3iMD<br />

~ 13<br />

o I<br />

D31!Hk<br />

~~<br />

o~<br />

MD<br />

D3ti'77<br />

1 '<br />

I<br />

o<br />

"H<br />

3 6<br />

2 4 1 1<br />

1 •<br />

o I ~ 1<br />

8<br />

1<br />

3<br />

4567<br />

8<br />

9<br />

10<br />

11<br />

12<br />

13<br />

14<br />

15<br />

16<br />

. ,....<br />

,....<br />

(12MHz)<br />

EaUITY 111 + /EPSON pe AX<br />

ANT - RM/RMA BOARD<br />

UNIT NO. Y12620700000/y12620900000<br />

A-10


PG<br />

TO<br />

POWER<br />

SUPPlY<br />

UNIT<br />

NO<br />

CONNECT<br />

CNIO<br />

CNII<br />

~ CNI<br />

CN2 .5V<br />

r.N~<br />

1CN4<br />

.4~bl<br />

1CN5<br />

~I<br />

lOW GOOD<br />

CN6<br />

~2<br />

.5V<br />

1CN7<br />

.5V<br />

~3 CNa<br />

.12V<br />

.12V<br />

- J~<br />

::::<br />

ICN9<br />

~4 C6 C4<br />

-12V<br />

-12V AI<br />

2<br />

GND ~<br />

!~3~F ti 33/lF~<br />

3<br />

GND o-L-.<br />

'16V r; 16V 4<br />

5<br />

GND o-L..<br />

6<br />

7<br />

GND o-L.. B<br />

~4 C5 -.<br />

-5V<br />

-5V 9<br />

.5V<br />

_5 10<br />

fi 33/lF 11<br />

.5V ~6 • 16V<br />

12<br />

_7<br />

13<br />

.5V<br />

.5V<br />

- 14<br />

•<br />

+ + 15<br />

+5V<br />

GND<br />

Cu.bJo; Set<br />

+5V<br />

GND<br />

GND<br />

.12V<br />

_l<br />

-* -<br />

33/lF 16V 16<br />

17<br />

CI C2 C3 x3<br />

71r "I,. f,- 19<br />

2<br />

21<br />

2<br />

23<br />

2<br />

2<br />

2<br />

2<br />

2<br />

0 '-'+5V<br />

29<br />

3<br />

31<br />

BI<br />

2<br />

:sRTl<br />

4<br />

5 -5V<br />

b46<br />

6<br />

-<br />

7 -12V<br />

B<br />

9 +12V<br />

10<br />

~+5V<br />

11<br />

3 12<br />

13<br />

14<br />

111<br />

ij...I2Y<br />

16<br />

17<br />

IB<br />

11<br />

20<br />

21<br />

22<br />

23<br />

2<br />

2<br />

2<br />

2<br />

B<br />

9<br />

10<br />

11<br />

12<br />

13<br />

14<br />

111<br />

16<br />

17<br />

IB<br />

01<br />

2<br />

3<br />

4<br />

!I<br />

6<br />

7<br />

~ B<br />

I<br />

- 10<br />

'-- 11<br />

L..-.- 12<br />

13<br />

- 14<br />

- Itl<br />

16<br />

-<br />

IB<br />

I<br />

.3. _<br />

3 h.' _<br />

} _lIV<br />

POW GOOO<br />

IOCHCK<br />

S07<br />

S06<br />

S05<br />

S04<br />

S03<br />

S02<br />

SOl<br />

SOO<br />

10CHROY<br />

AEN<br />

SAII<br />

S<strong>Al</strong>B<br />

SAI7<br />

SAI6<br />

S<strong>Al</strong>li<br />

SAI4<br />

SA13<br />

SAI2<br />

SAII<br />

SAIO<br />

SAI<br />

SAB<br />

SA7<br />

SA6<br />

SA5<br />

SA4<br />

SA3<br />

SA2<br />

SAI<br />

SAO<br />

IROI<br />

-<br />

OR02<br />

b311<br />

OWS<br />

b<br />

2<br />

2 a36 _<br />

3<br />

31 a22<br />

CI<br />

2<br />

3<br />

4<br />

11<br />

6<br />

7<br />

17<br />

'--- IB a,:........<br />

a~<br />

~E<br />

71r<br />

r""<br />

a<br />

a<br />

a<br />

a<br />

a<br />

b<br />

a<br />

b21<br />

RESET ORV<br />

-SMEMW<br />

-SMEMR<br />

-IOW<br />

-IOR<br />

-OACK3<br />

OR03<br />

-OACKI<br />

OROI<br />

REFRESH<br />

CLK<br />

IR07<br />

IR06<br />

IROli<br />

IR04<br />

IR03<br />

-OACK2<br />

T/C<br />

B<strong>Al</strong>E<br />

OSC<br />

SBHE<br />

lA23<br />

lA22<br />

lA21<br />

lA20<br />

l<strong>Al</strong>l<br />

lAIB<br />

lAI7<br />

-MEMR<br />

-MEMW<br />

SO B<br />

SO I<br />

SOlO<br />

SOli<br />

SOl2<br />

SOl3<br />

SOl4<br />

SOIlI<br />

-MEMCSI6<br />

-IOCSI6<br />

IROIO<br />

IROII<br />

IROl2<br />

IROlli<br />

IROl4<br />

-OACKO<br />

OROO<br />

-OACK5<br />

OR05<br />

-OACK6<br />

OR06<br />

-OACK7<br />

OR07<br />

-MASTER<br />

CNI2<br />

TO CNI ON<br />

ANTA BOARD<br />

DESCRIPfI()IIS<br />

Gable set #5BT is not installed on the Y12620200001.<br />

cPtion slot locations<br />

Unit Code 8 bit-bus connector 16 bit-bus connector<br />

Y12620200000 CN1, CN7, CN8 CN2, CN3, CN4, CN5, CN6, CN9<br />

Y12620200001 CN1, CN7, CN8 CN2, CN3, CN4, CN5, CN6, CN9<br />

Y12620aooooo CN1, CN7, CN9 CN2, CN3, CN4, CN5, CN6, CN8<br />

EQUITY 111 + /EPSON pe AX<br />

ANT - MT BOARD<br />

UNIT NO. Y12620200000/y12620200001/<br />

YI2620800000<br />

A-11


2 9 7 4 8 102718 24 23 22 21 17 19 15 16 20 2629 28 25<br />

CI<br />

0.1<br />

~<br />

R21<br />

R22<br />

7.5K. lOK<br />

•<br />

C8 ~ C9 ~<br />

H~~ CI4 Hr- ~8<br />

R2C ~~, Q,~<br />

11<<br />

I .,pCI<br />

: R23<br />

• IK<br />

., 10.1 .-<br />

.. D7 R28<br />

'- :~ OJf At 5;il2~ 620<br />

R30<br />

100 ..<br />

K ' -- ....<br />

I<br />

pell<br />

R25 RD D8 2~Z~<br />

R24' 750 150 ..<br />

"<br />

l5.1 Il ~ --~~I 0.0<br />

D4 D5 RD5.1 R I--< 47<br />

~ 151588 R31<br />

4 5 _:~.... ~",r- 151588<br />

I JooK<br />

VIN OUT 2 151581<br />

D4 I',.r:--<br />

ZI<br />

25C~ 8 8<br />

121 ~D3 A ~<br />

~2" R32 ~<br />

GNO 151588<br />

..<br />

RD4.3 IK .. 910<br />

6<br />

HA 16654<br />

Vret RT CT OT<br />

5<br />

3 2 I 7 8<br />

RI<br />

56K<br />

R2<br />

2.2/l<br />

(Deo d Band) (Error Input) R28 R27<br />

7.5K<br />

R4<br />

100<br />

Q3<br />

C5<br />

C2 C4 R3 47K 200<br />

0.1 1000P<br />

~ PRECISION ADJUSTABLE SHUNT REGULATOR<br />

5.IK<br />

RI5<br />

IK<br />

RI7<br />

510<br />

7<br />

_I­<br />

-,..<br />

24 0.1<br />

K<br />

lOK<br />

R33<br />

3.3K •<br />

R34<br />

lOK<br />

2SC<br />

1213A<br />

rc;;)- 2SCI213A<br />

R35<br />

, lOK<br />

5.IK<br />

R36<br />

2K •<br />

-<br />

...-<br />

R3~<br />

100 R39<br />

3K<br />

:<br />

09 : , R40<br />

13K<br />

151588<br />

- _.<br />


OUTPUT SOCKET<br />

®<br />

RIII CI06 O.OI/lF<br />

IKV<br />

10 ~W<br />

CRI02<br />

LI<br />

0106<br />

BUZIIA<br />

0111<br />

N t---------,<br />

SWI<br />

FIOI<br />

FLIOI<br />

NTCIOI<br />

RI12<br />

22<br />

2W<br />

RII7<br />

4.7K<br />

'1 4 w<br />

FG<br />

EMI<br />

FIL TER<br />

RI<br />

330K<br />

2w<br />

5A 250V<br />

CxlOI<br />

IIlF<br />

260Y<br />

0103<br />

C,IOI<br />

'IOOOPF<br />

5C,102<br />

1000PF<br />

250V<br />

CRIOI CIOI +<br />

1000<br />

200V<br />

T.rrn;MI<br />

" 110 y.<br />

NTCI02 1000/l<br />

200V<br />

•<br />

RI03<br />

RIOI<br />

47K<br />

2W<br />

RI02<br />

47K<br />

2W<br />

0101<br />

RI07<br />

27<br />

5W<br />

CI07<br />

O.OI/lF<br />

IKV<br />

CRI02<br />

RI13<br />

10 ~W<br />

0106<br />

CI08<br />

L2<br />

L3<br />

RII8<br />

RI16 9.1K<br />

+ CI09 '1 4 w<br />

2200/lF<br />

25V<br />

TL431C<br />

Vref<br />

-2.44V-<br />

2.55V<br />

CIII<br />

0.047/lF<br />

100V<br />

C/30<br />

I..,:<br />

+<br />

SO"<br />

2.4K RI20<br />

'1 4 w<br />

CI12<br />

2200/lF<br />

25V<br />

+,2.<br />

GP<br />

+5<br />

+ CI05<br />

RI09<br />

RIIO<br />

40 40<br />

5W 5W<br />

CI03<br />

3300PF<br />

RI2<br />

3.9<br />

~W<br />

O.OI/lF<br />

IKV<br />

+ +<br />

CII7 4700/lF CII8<br />

10V<br />

4700/lF<br />

10V<br />

GL<br />

A }40-------'<br />

RI05<br />

0102<br />

CII3 CIIS RI23 CII6<br />

CI19<br />

+<br />

RI24<br />

IK<br />

+ + CI21 RI25<br />

CI20<br />

IK<br />

470/l '1 4 w<br />

1000/lF 1000 '1 4 w<br />

35V 16V /lF<br />

16Y<br />

-12<br />

-5<br />

-------­<br />

4<br />

3-,<br />

I<br />

I<br />

I<br />

I<br />

IL<br />

_<br />

8<br />

0107<br />

L4<br />

102W<br />

JI05<br />

ICI03<br />

CI22<br />

CI28<br />

r----------<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

R5<br />

lOK<br />

YeW<br />

SM494<br />

R26<br />

560<br />

'laW<br />

RI9<br />

470<br />

'leW<br />

RI6 RIS RI4 01<br />

1 20<br />

YeW<br />

470 100 I<br />

'leW + YeW 9 ®<br />

4.71lF C7 r-------.......~ 0<br />

35V<br />

RI7<br />

1.8K<br />

'leW<br />

Z02 11.74V­<br />

12.35V<br />

RI8<br />

r--_*----+-..-...... 12V<br />

R6 27K R9 + I I<br />

I lOK C4 ......-I~____1~-.o--..,..._p +5 V<br />

'lew ~W 10/lF R24 I<br />

1 3SV 47 Zol I<br />

1<br />

I O.OI/lF<br />

02 R22 03 5.20V 12<br />

I 50V<br />

I<br />

~<br />

I 0 RIO ~~ R23 + C9 RII ~W S.6K oCS ȮIIIF<br />

510 I/lF I<br />

I C6 YeW sov~ lfew 50 v<br />

I CI3 .~tv I<br />

I<br />

hI<br />

: RI2 O.OO~~1<br />

I VR2 RI3 I<br />

I 'law I K 3.3K<br />

L "~~ __'law ~<br />

'1.W<br />

4.94V-<br />

I<br />

I<br />

1<br />

5<br />

0113<br />

0114<br />

CI24<br />

4.7/lF<br />

35V<br />

+<br />

RI34<br />

RI27<br />

1 00<br />

V4W<br />

RI29<br />

RI36<br />

RI28<br />

lOK<br />

V4W<br />

16V<br />

'1 4 w<br />

RI37 6.8K<br />

RI48<br />

lOK<br />

V4W<br />

'1 4 w 4.7/lF<br />

CI3135V<br />

1 5K +<br />

V4W<br />

4 2<br />

5<br />

ICIQ4<br />

RI40<br />

lOOK<br />

V4W<br />

RI41<br />

1·2K<br />

V4W<br />

RI39<br />

RI43<br />

750<br />

4.7K<br />

'1<br />

'1 4 w<br />

4 w<br />

0110<br />

RI44<br />

6.8K<br />

RI42 '1 4 w 11<br />

~.8K<br />

V4W RI45<br />

6.8K<br />

•<br />

'1 4 w<br />

10<br />

•<br />

471lF<br />

2~Y CI26<br />

0112<br />

CI29<br />

+5v<br />

RI46<br />

IK<br />

1/4VV<br />

>-+--~-vPG<br />

R/47<br />

lOOK 1/4W<br />

®<br />

EQUITY III+/EPSON pe<br />

ANPS UNIT (ALTERNATE<br />

UNIT NO. Y126509000<br />

AX<br />

POWER<br />

SUPPLY)<br />

A-14


-----A<br />

B C D E<br />

F<br />

G<br />

H<br />

T<br />

liiCi


T<br />

DACK2<br />

2<br />

3<br />

4<br />

t-<br />

0<br />

...J<br />

CJ)<br />

Z<br />

0<br />

5<br />

0<br />

t-<br />

Z<br />

~ u<br />

a..<br />

0<br />

T/C<br />

RQ 3<br />

4<br />

15<br />

7<br />

RESETDRV<br />

iOW<br />

Tmf<br />

AEN<br />

SAS<br />

SA8<br />

SA7<br />

SA6<br />

SA15<br />

SA4<br />

SA3<br />

SA2<br />

SAI<br />

SAO<br />

SD7<br />

SD6<br />

SD15<br />

SD4<br />

SD3<br />

SD2<br />

SOl<br />

SDO<br />

A<br />

826<br />

v 827<br />

8215 8<br />

824 C<br />

823<br />

B<br />

:<br />

~<br />

821 C f'tl,0<br />

82<br />

R34.7K<br />

A<br />

c<br />

Sp•• 7407<br />

!tC<br />

D<br />

7C 1I .... 7C ... 12<br />

.... I>'" Ir<br />

"'8<br />

R2S 2711<br />

R<br />

E<br />

·4<br />

• 15<br />

F<br />

tiTIf<br />

33<br />

RTS 32<br />

sauT<br />

11<br />

CSOUT 24 NC<br />

DIllS 23 NC<br />

Ne 2S NC<br />

.15<br />

G<br />

H<br />

Spar.<br />

LSI215 LSII'<br />

71518• 13DI<br />

18FI<br />

11581<br />

~ ~ ~.<br />

13811<br />

4<br />

7<br />

3<br />

~<br />

cO:!<br />

EIA DATA TERMtiAL READlY<br />

EIA REQUEST TO SEND<br />

EIA TXDATA<br />

SIG GND CN4<br />

EIA CARRER DETECT<br />

6<br />

EIA DA TA SET READY<br />

8<br />

EIA CLEAR TO SEND<br />

• EIA RtiG INDICATOR<br />

2<br />

EIA RX DATA<br />

DATA7<br />

6<br />

15<br />

4<br />

4<br />

3<br />

2<br />

I<br />

---.l!.....<br />

0 ST~08~<br />

AU 00 XT<br />

TIITT<br />

~<br />

I" .SLCT<br />

12<br />

.PE<br />

11)0( RR"<br />

115<br />

~<br />

CN3<br />

VI<br />

",<br />

::0<br />

i><br />

...<br />

o<br />

il:<br />

il:<br />

c z<br />

n<br />

l><br />

-l<br />

Ci<br />

Z<br />

o zz<br />

",<br />

o<br />

-l<br />

o<br />

::0<br />

\l<br />

l><br />

::0<br />

l><br />

...<br />

",<br />

...<br />

o o<br />

il:<br />

il:<br />

c z<br />

n<br />

l><br />

-l<br />

Ci<br />

Z<br />

o zz<br />

",<br />

o<br />

-l<br />

o<br />

::0<br />

6<br />

CN2 -l<br />

o<br />

"Tl<br />

o<br />

7<br />

GND<br />

81 810 831<br />

~<br />

8<br />

1<br />

+40~<br />

'!~:; 7407<br />

4.,"$<br />

4·~407<br />

L. __6"o


A B c D E F G H<br />

T<br />

r---------------------------------------------,<br />

I<br />

r-.....t------......<br />

R R<br />

17 18<br />

_-_-_-_._-_-_-_--..------1:-::2-=0-=Q----:R:::3:-:4~f------.f------ .....-----------.......--lio +58<br />

C28<br />

+0.1#<br />

05<br />

ISSI38<br />

VCI<br />

CIO<br />

12<br />

2Cext<br />

VCC<br />

82P<br />

15-90PF<br />

LG 80th 74574 s are in the same package.<br />

~ The MPQ6700 is a quad PNPINPN<br />

complementary pair transistor.<br />

2<br />

D2<br />

IFC<br />

2FC<br />

L5629<br />

VCCO<br />

RNGI<br />

RNG2<br />

(IG)<br />

GNOO<br />

3<br />

GND<br />

! TPI<br />

RI5<br />

1.74K<br />

1%<br />

RI4<br />

3.48K<br />

'"<br />

+ :One Point Earth<br />

6<br />

EI<br />

E2 GND 9<br />

CI5<br />

VC2<br />

(VCO)<br />

ICext<br />

4<br />

IY<br />

2Y<br />

5<br />

IOOP<br />

15-90<br />

PF<br />

(2.0M)<br />

(204M)<br />

4<br />

WINDOW<br />

RD<br />

WINDOW<br />

RD<br />

ALSI13A<br />

GROUND PLANE<br />

---------1---------<br />

_____ ...1<br />

3<br />

RAW RO<br />

RII<br />

4.7K<br />

,......-.-------..---+-------.----4l----J~ +5<br />

RI<br />

4.1<br />

2SAIOl5Y<br />

02<br />

2SAIOl5Y<br />

01<br />

5<br />

RI3<br />

3.3K<br />

R8<br />

R4<br />

SYNC<br />

6<br />

WCLK<br />

VCOFB<br />

Spare<br />

TL081<br />

7<br />

DI<br />

00<br />

AO<br />

3XVN<br />

WR<br />

3F 4<br />

00<br />

3F<br />

-DLY21500kbps)<br />

6 -OL Y 11300kbps)<br />

3F VCOSEL<br />

.-:....;",. ..:....:....._...:.;:13~ 12 -DLYOl250kbps)<br />

LS74A<br />

8<br />

_l<br />

13<br />

12<br />

RES<br />

4E<br />

.a.LS27<br />

EQUITY 1II + /EPSON pe AX<br />

SPFG BOARD (SHEET 2/2)<br />

UNIT NO. Y12720100000/Y12720100001/<br />

Y12720110000<br />

A-17


A B c o E F G H<br />

1<br />

2<br />

3<br />

4<br />

5<br />

6<br />

I­<br />

ọ<br />

..J<br />

l/l<br />

Z~<br />

Oz<br />

i=u<br />

a.<br />

o<br />

o<br />

I-<br />

I­<br />

o<br />

...J<br />

l/l<br />

ZN<br />

Oz<br />

j:u<br />

a.<br />

o<br />

o<br />

I-<br />

loc~g~<br />

R 21<br />

100<br />

1%<br />

COHA2l-1__----.<br />

6 3<br />

~ 4<br />

4 ~<br />

3 6<br />

2 7<br />

1 8<br />

01r<br />

+ 10CHRDY<br />

AEN<br />

10<br />

11<br />

SAU<br />

1&<br />

12<br />

13<br />

17 '4<br />

16 1~<br />

1 ~ 16<br />

14 17<br />

13 18<br />

12 19<br />

11 20<br />

1 ~ ~l<br />

~ J l..ft-<br />

ca 22p ~~<br />

f<br />

:;= ~10f04HZ CRI<br />

7_ i~~ ~1oI<br />

SA9<br />

!I~~!;~~~~~:;6~-!J:~~~6~~~~~~~S~A~2:~1~<br />

GND ~ 7<br />

RESET DRV<br />

+~YDC + ~<br />

-~YDC IRQ9 4~ CI6f'<br />

100" 16Y<br />

DRQ2 6<br />

-12YDC 7 7_<br />

OWS !<br />

+12 VDC C>---?-,.----+-+l---=t==:l f<br />

r<br />

+5<br />

27 I- R13 R14<br />

Pg~~~4'7~KX2<br />

14 Ht--uTKIlJ'nn",,---, + ~<br />

15 32 NC<br />

1633NC<br />

lR15<br />

17 ~4 NC • 4.7K<br />

TEm Pi-LJ--....----++++-+----c<br />

WAUP 0<br />

W1l<br />

A~.~<br />

07 H 7<br />

6<br />

~<br />

4.<br />

3 4<br />

ba,t: 2=:i=~<br />

HDO~<br />

+~<br />

l,-_......;4;.;',.-I S07 I<br />

61<br />

~I<br />

4<br />

41<br />

31<br />

2/1<br />

1 •<br />

O.<br />

HD7<br />

6<br />

5<br />

4<br />

3<br />

2<br />

1<br />

iöi<br />

row<br />

&ALE'<br />

11 71<br />

1 1~~~4<br />

SAU<br />

7/1<br />

Fi5CS t:>~: NC<br />

LNCIi P NC<br />

töMri P:~ NC u<br />

7 4<br />

~ 1<br />

4<br />

3<br />

VCC<br />

GND<br />

II HRST 1: 4 +5<br />

1/1 +5 12K<br />

0/1 YCCI f-U...<br />

~%<br />

m • YCC2 f-i'L-<br />

CORRD<br />

C32 1/4W<br />

ERR 0.1"<br />

ALE GNDI I-#-<br />

GND2 f....U-<br />

+~<br />

7 wn7 •._"f 2201\x~<br />

1/0 ~ ~H!QJ.D7_Jjl~~DO:~7--"V:;:CMC~Cl9 r---------__"!9:::~~~~~5~09='..:3C--------------~------...:5+j4~3 ~2+6+-:t-.~-y,_·---J==~'~H53 /RWC<br />

4~, Eg~i~ Ir-+--!'!-I ~ GND Ho 0.1" r-----------.-.~5~""-'" ~ 8 4 HS2<br />

TO J 1<br />

ON ANT-LS<br />

BOARD<br />

l~~~'~m: SC~33~l~7-~'~~~g~f~~~~~~~~~~~~~~~~~~~~~~~~~~~~~q+==;l:7~A~'===i+ij~~===~~~~~ WG<br />

ADRlg~~~~~ 3~ B~DY I~m sc<br />

6C i WF DRDY 6 t2<br />

~ TRKOO<br />

~==!:t1 NC 3~ BDRQ L_~4_"3L-.....jf++_+-I-I-----t:=::ti~ -<br />

H: NC ·c SOHLE 4E , .-. C 6 1 WHT<br />

4 ~~_..;4--1h1 4 5 '1>~-------------H___1~--------+~+U-----4==t4~ ~<br />

3Hl--+--I-J WD2010B :~ KEY(Plnremovedl<br />

ii~.~7~~~I~*================~:=:~==~F==j2~1~~R-05 rl---------.... :~ 5C 11 17<br />

wr 18 '?tm~ 6<br />

H51<br />

OE I BCS STEPlj217~~~~f~~~~l I (INDEX) ~ 21 INDEX<br />

es 10 .A_ 11<br />

~ 12 7~ 13 1<br />

2016<br />

(loons)<br />

6F ~<br />

--ll<br />

WDCS<br />

H1il<br />

'mj<br />

HAI 4<br />

~<br />

WAUP ~C 6<br />

WAUPL !-L"---~-"'~ ,. 8 KEY(Pin removedl<br />

I Delay L1ne R6~ r~iF ADJUSTI-''----+,...I-%--'Rv.~1I._t ~~OP ~~PI I I rr===~~~t;10m NC<br />

I ~VCCJNA''0K.'101,ADLYDRl r61~ ~~Kf04VAf04I09 1 L ...J '~ Wf04Ff041+<br />

Cl:: 38 4 TEARLY 20Y. 1/4W,.. 1 r -- - - -, Wf04Ff041<br />

0.1" 1 F ~o '0 7 TNOf04HW 2~Y • 16<br />

I<br />

t-1 GND ~~ ~t:6~l PUf04PI-2"'~'---_ .......:~~.\'.'iK,..:'-=.Y,.:.. ...... D2 I L~II~4+t_"t_;::=::t=~~7~ R<br />

I ... t~~ TDRUN C3 1 r+++---!1~3~1-< g 2 _I I ~ I g R~~~l +<br />

4700P Rl 1 I ~:l II~ R9~ I<br />

WD10C2OA 5 Y. t---'\Mr-. - 7A I P--'-'-',++"''+--'<br />

I VALOR ~901\IT ~:OP I" Il.... 1 100 ~ %<br />

I 2H '\O~'----..........-_a C2 1% 1 S% I 9IV" 81 f-


---------1<br />

A B c D<br />

2<br />

4<br />

CN2<br />

CNI<br />

AEN<br />

1<br />

lSI25<br />

I<br />

I 3 16HI<br />

1-=-----.<br />

1',i9J 2<br />

r'!< -G<br />

7fT<br />

I Ne ....!!c MCSI6<br />

,1---------...l6~0~,.OCN<br />

, S lA23<br />

, 22<br />

8<br />

I<br />

DIR~<br />

9<br />

8<br />

--------1-- -I<br />

'" 21<br />

~ ,20<br />

• 19<br />

18<br />

17<br />

.;-_;: 2D :-I SAI9<br />

1,.--_~'4~ 18<br />

1.--_.,3 14 17<br />

1,.---'1''''-1- 16<br />

20 15<br />

1,.------';:;..1 14<br />

13<br />

12<br />

11<br />

ID<br />

14<br />

I:!'I<br />

t"\..(,>;;A;;I... 1 ++-1-__-+_--.J!>:I.I A AEN<br />

B<strong>Al</strong>E r.q.::8:=2:.8 ++-1-__-+__.1!2l<br />

- B<strong>Al</strong>E<br />

~IOW<br />

,<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

I<br />

II<br />

SAO<br />

11<br />

10<br />

&<br />

6<br />

D6<br />

10<br />

987654321o<br />

R<br />

S<br />

E<br />

T<br />

18 I.<br />

R S<br />

S C<br />

E L<br />

'----i1--'3iL.1 SAoT K<br />

r- +~S~DSDIS<br />

r-- -+-:i i~<br />

:m 10<br />

___--l,...~iIi:. 9<br />

2 8<br />

.D+<br />

27ta;]<br />

vv<br />

D D<br />

D D<br />

ISH)<br />

G<br />

AMYV<br />

X<br />

NC<br />

(E02055BAI<br />

I A<br />

M 0 D<br />

C C A<br />

E E E<br />

I? C) \J<br />

DD ...4 D3<br />

M 1 A<br />

C 0 D<br />

E C A<br />

E E<br />

14F)<br />

G<br />

A<br />

M<br />

YV<br />

Y<br />

NC<br />

11 111I<br />

G G G<br />

N N N<br />

D D D<br />

MSA t'D~O:...- ....<br />

~<br />

ADI5~<br />

ADIS~<br />

14~<br />

13~<br />

12~<br />

II~<br />

'O~E<br />

9 4<br />

8<br />

~t!!=::<br />

5 41....-<br />

4 ;..-.-<br />

3 :...-<br />

2 L......-<br />

I 6~<br />

o ~<br />

J;; ..u 17<br />

r-----I--------- ...D 16<br />

MI6 ...2:,::8'--__+_--I<br />

v-t-+<br />

IG 0<br />

2 8HE<br />

4~ S2<br />

r _--+-+_---!2~9~ 30 HSEL MPX<br />

'---++--'-=;31=-1 MALT<br />

rl--+-----'=:+-! DCLK<br />

,..........!!. CCLK<br />

~ DSEN<br />

~ 34 CUDS<br />

~ HSYN<br />

Ir-ü- VSYN<br />

.......-+_~7-=1!<br />

96 VV VV<br />

~ AI9 C C C C<br />

97 C C C C<br />

...::.!. 18<br />

IDCK<br />

~ DCLK<br />

78 MALT<br />

L.-_~I--_.uq MPX<br />

L.-_--I--I-__~..lJII HSEL<br />

~!! CPSL<br />

....---+-+_-II~C8M<br />

1<br />

A 9<br />

ADIS<br />

14~<br />

13 ~34 10 14<br />

12 11 13<br />

11 I!> 12<br />

10 13 11<br />

~ IIR 10<br />

9 ~39 9<br />

~~ :~ 8<br />

~~ : ~<br />

4~ 21 ~<br />

3<br />

~ 1'--~2-i::t2 4<br />

2~ 23 3<br />

I~ 24 2<br />

o :48 : 2D 1<br />

MONO ""D.::;.2_+----.<br />

MI6fD:.:,I--+--+--.......J<br />

S2~<br />

~~<br />

C8M ......... 32=----~....J<br />

31 B2<br />

WA IT p.::-'----+------===------'<br />

....-+- ---I-+_~1~9::.{) RSET<br />

---+-I-_~24:.:'-rlWAIT<br />

-n'.::: S2<br />

21 SI<br />

20 SO<br />

8HE<br />

t----"'Il.....l ADIS<br />

14<br />

13<br />

12<br />

11<br />

10<br />

9<br />

G8HE f..h.)-.3_0__-t .J<br />

MONOt-<br />

29 ---.<br />

5" SI<br />

7 SO<br />

RSTN f:1...)-4:.:9~t_----f---J-Jr"1l-~----~~~-=.-=--=-~t1===J:14~~WAIT<br />

EXT 131<br />

-----+-+-----lIL""-1 RSET<br />

r C8M<br />

l<br />

.--__-+-+-_.....;2~6;.1CSEL<br />

7lT 27<br />

G G G G<br />

N N N N<br />

D D D D<br />

41544<br />

NC<br />

IOO~S<br />

12E)<br />

G<br />

AMYVo<br />

(E02052EAI<br />

T<br />

E<br />

S<br />

T<br />

111 j..iaelt:=-------------........<br />

RAS J,. ....r6;,..;;6<br />

_<br />

_<br />

IRG.<br />

I"'.....'<br />

t!m.r---e 3.3Kx6<br />

VH<br />

BGRI<br />

1"21. 11 IC~S 411'7<br />

k iG 16AI<br />

~ 2G lS244<br />

I.<br />

77­<br />

2SCI815<br />

A<br />

Y<br />

~2.147 1111 '~ 120P<br />

.-.I---lC~7-1<br />

C\I" 0:0: It)~ 0: 0:<br />

UI L9 CN3<br />

;;;:;;: 61"\<br />

L3~- 3'"<br />

L4~ 4_ R<br />

U'I .JU!. 5~ G<br />

L8 ~v 1~ B<br />

L7 -,J!,!!; B~ VIDEO<br />

L6 ~~ 9~ H<br />

{~ V GND<br />

FG<br />

~I<br />

-oR<br />

L..- ~O G<br />

83<br />

no<br />

".'<br />

47P<br />

b..-------4I<br />

~B<br />

L.-----~~D V<br />

~H<br />

CNS<br />

2<br />

4<br />

6<br />

8<br />

10<br />

12<br />

1-13<br />

CN4<br />

(ö) eOMPOSITE<br />

t2.~IDEO<br />

Jr<br />

I<br />

9PIN<br />

D-SHEll<br />

eONNECTOR<br />

,----- - - - - - ---<br />

I HV8GR I<br />

I 1 sTe12 1~411111 11<br />

I t-<br />

~I!>G • RII<br />

,'17 ""-- 95<br />

L ~<br />

+5<br />

A<br />

ose<br />

.5<br />

.5<br />

GND<br />

GND<br />

GND<br />

_&30<br />

10_/<br />

/IOV<br />

0.1_<br />

MGA BOARD<br />

UNIT NO.: Y12720400001<br />

A-19


*<br />

+5V<br />

-r<br />

,,;I: R2<br />

01 ~ J27K<br />

+ ~ CI<br />

:;J;. 47p<br />

4<br />

MPU8<br />

M5<br />

,_ 2<br />

9 RST/VPO<br />

"'J<br />

+5V<br />

LS14 T 31 EA<br />

r--_I~ M3~3~ ...;;1_2"'INTO<br />

'--- -+-~2<br />

Jumper-2 13<br />

6 ~···V - INTI<br />

XTI<br />

19<br />

C21.<br />

IOOP~<br />

XT2 ~1...:;,8_"""--I<br />

C3 ~<br />

IOOP .b<br />

6MHz<br />

I<br />

~<br />

5<br />

AIS AI6<br />

EI6 FI4<br />

AOI BOO<br />

012 013<br />

CIO CII<br />

C02 C03<br />

B02 B03<br />

BIO A05<br />

F02 F03<br />

F09 FIO<br />

EOI E02<br />

E09 EIO<br />

.,~ LS125<br />

019 EI9<br />

CI8<br />

C06<br />

B06<br />

BI7<br />

F06<br />

FI3<br />

E05<br />

CI9<br />

C07<br />

B07<br />

BI8<br />

F07<br />

FI5<br />

E06<br />

EI3 008<br />

EI4<br />

EI8<br />

EI7<br />

PCJ-9<br />

~<br />

~<br />

PCJ-IO<br />

~<br />

~<br />

PCJ-II<br />

~<br />

~<br />

E20 PCJ-12<br />

-<br />

~<br />

020 PCJ-13<br />

C08<br />

B08<br />

BI9<br />

F08<br />

FI6<br />

PCJ-14<br />

~<br />

~<br />

PCJ-15<br />

~<br />

~<br />

PCJ-16<br />

PCJ-21<br />

~<br />

~<br />

PCJ-20<br />

E07<br />

PCJ-19<br />

....,<br />

009 PCJ-18<br />

~P35<br />

PI7<br />

PI6<br />

PI4<br />

8<br />

13<br />

7 10<br />

5<br />

9<br />

M5<br />

~<br />

9<br />

7 rr LS125<br />

P 11 t-2 --+_10~<br />

,..-:.1.:.,.1_<br />

,.....;:8~_.-<br />

8 _ R7 180<br />

...., --..<br />

PCJ-24<br />

R4<br />

+SV<br />

.!!,KT<br />

......__+-_--+_--.1r l--_-.::::::....-__-()


A<br />

B<br />

c<br />

D<br />

E<br />

F<br />

G<br />

H<br />

T<br />

DISK 11<br />

SENSOR<br />

PKG<br />

WRITE<br />

PROTECT<br />

SENSOR<br />

USE I<br />

I 4CI<br />

DSX I<br />

I 4tl<br />

I RDlE~ 1>--.....'/""1<br />

,<br />

2'<br />

R46<br />

330<br />

'"LEDI<br />

DISPLAY<br />

LAMP<br />

390<br />

2<br />

RI7<br />

47K<br />

O.l~<br />

r +5F<br />

RII<br />

12K<br />

TP&<br />

CI9<br />

=.&F<br />

R43<br />

IOK<br />

R44<br />

R45<br />

IOK<br />

HDE2<br />

I 7HI<br />

SPINDLE<br />

MOTOR<br />

3<br />

INDEX<br />

SENSOR<br />

DRIVE SELECT 2<br />

DRIVE SELECT 3<br />

---,<br />

RI9 '<br />

330 ~<br />

R20'<br />

,..~)($!."'_~,>USE I<br />

;SX:~: ~:P XL RU<br />

~5 CJ ::<br />

TP7<br />

330P<br />

R93<br />

lOK<br />

':IZ~HI 1>------"''"1<br />

IHDM 1>-....----'-'"1<br />

..<br />

11 2<br />

0<br />

... 0<br />

)(<br />

... '" ! Q<br />

II II<br />

..<br />

0<br />

i<br />

> > ... W<br />

LAMO 43<br />

SPFI<br />

41<br />

PH41<br />

47<br />

PH31<br />

46<br />

PH21<br />

4&<br />

PHII<br />

42<br />

HLDI<br />

50<br />

HLFO 49<br />

P3<br />

17 r::::- --,<br />

12 , 4<br />

I<br />

11<br />

: STEPPING<br />

& 3 I MOTOR<br />

10 U2 21<br />

I<br />

2<br />

4 I<br />

JBL 1006 P 27 I<br />

11<br />

__J<br />

3 24 DI<br />

I 19<br />

FII41<br />

HEAD LOAD<br />

SOLENOD<br />

4<br />

5<br />

HEAD LOAD<br />

/ IN USE<br />

MOTOR ON<br />

WRITE GATE<br />

NRITE DATA<br />

R77<br />

R71<br />

R70<br />

RIO<br />

3K<br />

3K<br />

&.6K<br />

3K<br />

liDO<br />

I<br />

TKOO 40<br />

PRn 41<br />

RDTO 9 7<br />

RDYO 1----f">RDY 0<br />

I 7AI<br />

DCGO<br />

39<br />

RDY I<br />

I lAI<br />

IS2837""<br />

DI4<br />

INDEX<br />

TRACK 00<br />

WRITE PROTECT<br />

READ DATA<br />

READY/DISK CHANGE<br />

6<br />

7<br />

8<br />

1<br />

STEP<br />

DIRECTION<br />

SIDE SELECT<br />

MIGH/NORMAL<br />

DENSITY<br />

DC .5V<br />

GND<br />

GND<br />

DC .12V<br />

.&F<br />

19AI<br />

R91<br />

"11<br />

IK<br />

LAM2<br />

RI4<br />

lOK<br />

,..---------------r>.&F<br />

,..-------o·&S<br />

'--_-OO·5SF<br />

...--------f>.12S<br />

L-.....--D .12SF<br />

RII<br />

3K<br />

RI<br />

RI3<br />

5.6K<br />

lOK<br />

RI&<br />

lOK<br />

R91<br />

.&F --w,....-+---.=.r<br />

lOK<br />

9<br />

LSI4<br />

.&SF -+---- SWFI<br />

SWF3~6::.:0=-----~2.;..' O:~<br />

L_... ~J 1fT<br />

12 2PI 12 4HI<br />

LSSO 1_5:::4::..... ~SF~S!.._ +_---.f"> iWOSHI<br />

HDEOI-3=- .J<br />

31<br />

PsvOl---------------~':><br />

LSI L 4---------l =,: R60<br />

DEN<br />

62 ....--- m<br />

: 1<br />

I' 1<br />

'---I<br />

I I<br />

PSV R&I<br />

I rC-~~--w.-- .5F<br />

I lOK<br />

2Lu~ .5F<br />

C31~:<br />

TPI<br />

R56 4.7K<br />

r SV 2C:lI<br />

.&S<br />

R54<br />

lOK<br />

IIQI!--'.---t.> rD1~1<br />

I 181<br />

3 I -~...----------.....-------- HDE4<br />

~::.J 12 IHI<br />

12 &HI<br />

C30<br />

~ .I~ R&9<br />

lOOK<br />

JP<br />

FG SG<br />

FD 1155C (1.2MB<br />

FDD UNIT)<br />

CIRCUIT DIAGRAM<br />

Sheet 1/ 2<br />

A-21


I<br />

A<br />

B<br />

c<br />

o<br />

E<br />

F<br />

G<br />

H<br />

T<br />

2<br />

3<br />

JI<br />

-DRIVE SELI<br />

-DRIVE SEL2<br />

-DRIVE SEL3<br />

-DRIVE SEL4<br />

IRESERVEDI<br />

IRESERVED)<br />

-DIR IN<br />

-STEP<br />

-Wo GATE<br />

-SEEK COMP<br />

-READY<br />

-W.FAULT<br />

-TRACKOOO<br />

-INDEX<br />

IRESERVEDI<br />

32<br />

8<br />

12<br />

10<br />

20<br />

16<br />

..-- --rr_-=-011--012_'-:- --,<br />

~ I<br />

..-------~I--09 100- ~-:-I-------,<br />

.-------'-1-05 60-;.1-----,<br />

,..------:-1-03 40--:- 1 ---""1<br />

I 7 8 I Ra 1<br />

't: I<br />

RI07<br />

220DJ<br />

RI08<br />

220DJ<br />

RI09<br />

220DJ<br />

L[:)<br />

2 ll~L. 1 :::=<br />

SSI<br />

111<br />

2lOllJ 11<br />

26 r ----, ~<br />

D-iH-+-l-+'-10 I ~o-':~"'Nvi<br />

~ ~~~ ~<br />

5.6 IIH) '-< ~ ..-<br />

28 1 17 18 ..0:.<br />

D-iH-+-l-+;...10<br />

I 2~<br />

30 '15 16 1<br />

- I_I<br />

D-iH-+-l-+-<br />

D-iH-+-l-+-,",Oll<br />

2<br />

o<br />

4<br />

o<br />

34<br />

~<br />

24<br />

6<br />

~<br />

22<br />

~<br />

~ y8<br />

0 3 O-C,:-'I'I ,..-(J<br />

1<br />

,13 14 I 10<br />

, ~ I<br />

'<br />

4102"",--''' r-­<br />

C<br />

0:<br />

X<br />

C<br />

HMD - 720 MAIN CIRCUIT DIAGRAM<br />

0:<br />

---.J1<br />

A-23


.5<br />

SAII<br />

10<br />

9<br />

B<br />

7654<br />

AEN<br />

SA3<br />

2<br />

1<br />

o<br />

-IOW<br />

S07<br />

6<br />

5<br />

4<br />

3<br />

2<br />

I<br />

o<br />

N I I N<br />

::E. ;. '~:,~ ';. IKxB<br />

LS688 Ir. '.~' >:.. SW2<br />

A200--------n 17 ... P7 Q7 118 ~ ..... r<br />

21 I P6 Q6 16 6 ~<br />

22 I P5 I Q5 14 - ~<br />

23 I P4 C Q4 t-::1~2--- t-++-~u'r' ,..........<br />

24 P3 2 Q3 .... ~<br />

25 P2 Q2 -- ~<br />

26 PI QI ~<br />

27 I PO --9.9 ~ ~ ~<br />

11 0 Ci P=Q 1. 5 r. 7<br />

~ LS688 '? ~~~<br />

I I :'.. IKx4<br />

:~ P7 Q7 t '---- ~. '.'.. :~ SWI<br />

A2B U---------:-i,3ifP6 I Q6 ~~+----4lf-+-hI--....;j~.rv-(~y./o--......,<br />

29 11 P5 C Q51-!,~-+-----iH-+----'2HJ~ ~<br />

30 I P4 I Q4 9 .......<br />

31 P3 Q3 I x.,.. .......<br />

~ P2 Q2~ T.T<br />

PI QI ~ +5<br />

~ PO --9.9 fu--<<br />

B130- ~----___i~I:JCi P=Q PL" nT N 't.~r:;~~<br />

IIC31 r. T ,J, 1J1'l I I I I I I 200g\l 4<br />

WxB<br />

LS04 ~r---A-L-S-5-6-3-""" ~. =::~ '. ::<br />

6 I~LEOI<br />

r......"I-'".~'--,--,2=--'<br />

A2 n--------=+-oiiLfOB QB~~- ....<br />

3 07 Q7~~---'-IoII"''7-00,.J<br />

4 06 I Q6Pf:~---'·""'...;.lfIIIIL"""~3~<br />

5 05 C Q5~~---""-,-lillL..........4'-:-'_<br />

......... 5<br />

6 04 4 Q4~~------II""'IIII--L...... l.oIIIl 6 7<br />

7 03 Q3P!-:~------~:.I<br />

B ,02 Q2P!-c!~------_ .....-=M.....~1IiB<br />

9 • 01 QI ~<br />

LL C ocpL, ....<br />

, m LEOxB<br />

.5V<br />

GNO<br />

MFG BOARD<br />

A-24


134<br />

132<br />

126<br />

500<br />

\ ---<br />

~<br />

I<br />

103<br />

/~'~300<br />

Exploded Diagram For EQUITY m+, EPSON PC/AX<br />

( 2/2 )<br />

A-25


231<br />

241<br />

/<br />

230<br />

108<br />

./<br />

(,<br />

201<br />

100<br />

125<br />

Exploded Diagram For EQUITY m+, EPSON PC/AX<br />

( 1/2 )<br />

A-26


EPSON OVERSEAS MARKETING LOCATIONS<br />

--<br />

EPSON AMERlCA, INC.<br />

Bulldlng #6 23610 Telo Ave., Torrance<br />

CA. 90505 U.S.A<br />

Phone: 213 - 534 - 4234<br />

Telex: 910- 344 - 7390<br />

EPSON UK LTD.<br />

Dorland House, 388 High Road,<br />

Wembley, Middlesex, HA9 6UH, U.K.<br />

Phone: 01- 902- 8892<br />

Telex: 8814169<br />

EPSON ITAUA S.p.A.<br />

Via Timavo, 12 20124 Milano<br />

Phone: 02 - 6709136<br />

Telex: 315132 SEGI I<br />

EPSON AUSTRAUA PTY. LTD.<br />

Unit 3, 17 Rodborogh Road, Frenchs<br />

Forest, NSW 2086, Australla<br />

Phone: 02 - 452 - 5222<br />

Telex: 75052<br />

EPSON ELECTRONICS TRADING LTD.<br />

25/F Harbour Centre, 25 Harbour<br />

Road, Wanchai, Hong Kong<br />

Phone: 5- 8314€OO<br />

Telex: 65542 EPSON HX<br />

EPSON DEUTSCHLAND GmbH<br />

Zulplcher Strasse 6 4000 Dusseldorf<br />

11 F.R. Germany<br />

Phone: 0211 - 56030<br />

Telex: 8584786<br />

EPSON FRANCE S.A.<br />

Evolic C - 201, 86/156, avenue Louis<br />

Roche, 92230 Genneviliers<br />

Phone: 1 - 4792 - 0113<br />

Telex: 614966<br />

EPSON - STI S.A.<br />

Paris, 152 08036 Barcelona - Spain<br />

Phone: 250 - 3400<br />

Telex: 50129 - STTK<br />

EPSON ELECTRONICS (SINGAPORE)<br />

PTE, LTD.<br />

No.1 Raffles Place #26 - 00<br />

Oub Centre Singapore 0104<br />

Phone: 5330477<br />

Telex: RS 39536 EPSONS<br />

EPSON ELECTRONICS TRADING<br />

LTD. (TAIWAN BRANCH)<br />

10F, No.287. Nanking E. Road, Sec.3,<br />

Taipei, Taiwan<br />

Phone: 2-7160855<br />

Telex: 24444 EPSOf'J TB<br />

SEIKO EPSON CORPORATION<br />

80 Hirooka Shiojiri - shi, Nagano<br />

399 - 07, Japan<br />

Phone: 0263 - 52 - 2552<br />

Telex: 3342 - 214


EPSON<br />

Printed in Ja[BIl 88.10-1.5

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!