14.12.2012 Views

line - The University of Texas at Austin

line - The University of Texas at Austin

line - The University of Texas at Austin

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Figure 2.13: Cross section SEM images <strong>of</strong> dense 70 nm (a) and 100 nm (b) <strong>line</strong>s..39<br />

Figure 2.14: SEM micrographs <strong>of</strong> an oxide/ITO templ<strong>at</strong>e after resist development<br />

(a) and after oxide etch and resist strip (b). ......................................................40<br />

Figure 2.15: Requirements <strong>of</strong> film stacks (a) during templ<strong>at</strong>e production and (b)<br />

during imprinting. .............................................................................................41<br />

Figure 2.16: Optical constants for m<strong>at</strong>erials used in templ<strong>at</strong>e fabric<strong>at</strong>ion. (a) ITO, 65<br />

(b) 6.35 mm fused silica pl<strong>at</strong>e, (c) PECVD SiO2, (d) ZEP520, and (e) NEB22.<br />

Extinction coefficients were calcul<strong>at</strong>ed to be zero in the d<strong>at</strong>a range unless<br />

noted..................................................................................................................42<br />

Figure 2.17: Optical constants for m<strong>at</strong>erials used during SFIL imprinting not listed<br />

in Figure 2.16. (a) SFIL A4 etch barrier, (b) DUV30J-11 transfer layer, and (c)<br />

Si (from reference 57).......................................................................................43<br />

Figure 2.18: Optical coefficients for 780 nm exposure corresponding to the film<br />

stack shown in Figure 2.15b using ZEP520 as the e-beam resist. <strong>The</strong> height<br />

sensor beam is incident on the substr<strong>at</strong>e <strong>at</strong> 75° from normal, hence the<br />

difference in p- and s-polariz<strong>at</strong>ions...................................................................45<br />

Figure 2.19: Optical coefficients for 365 nm exposure <strong>at</strong> normal incidence,<br />

corresponding to the film stack shown in Figure 2.15a. <strong>The</strong> plots are different<br />

for areas <strong>of</strong> the templ<strong>at</strong>e th<strong>at</strong> possess SiO2 fe<strong>at</strong>ures (left) and those th<strong>at</strong> do not<br />

possess fe<strong>at</strong>ures (right)......................................................................................47<br />

Figure 2.20: Cross-sections <strong>of</strong> imprints using Cr-based templ<strong>at</strong>es showing (left to<br />

right) 50, 40, 30, and 20 nm <strong>line</strong>s. ....................................................................48<br />

Figure 2.21: Iso-dense fe<strong>at</strong>ures imprinted with SFIL-32-ITO-01 templ<strong>at</strong>e. a) 90 nm,<br />

b) 80 nm, c) 70 nm............................................................................................49<br />

Figure 2.22: Cross-section SEMs <strong>of</strong> imprinted fe<strong>at</strong>ures. a) 90 nm, b) 80 nm, c) 70<br />

nm. ....................................................................................................................49<br />

Figure 2.23: Fe<strong>at</strong>ures imprinted using a SiO2-encapsul<strong>at</strong>ed ITO templ<strong>at</strong>e. a) nested<br />

and b) isol<strong>at</strong>ed 20 nm <strong>line</strong>s. 26 ............................................................................50<br />

Figure 3.1: Dram<strong>at</strong>ic pr<strong>of</strong>ile improvements are observed in all etch barrier<br />

formul<strong>at</strong>ions with increasing crosslinker concentr<strong>at</strong>ion. Formul<strong>at</strong>ion M2 did<br />

not print.............................................................................................................62<br />

Figure 3.2: F1s XPS peak area as a function <strong>of</strong> sputter depth for imprinted film<br />

containing 1% (w/w) fluorin<strong>at</strong>ed acryl<strong>at</strong>e.........................................................64<br />

Figure 4.1: Idealized reaction <strong>of</strong> alkyltrichlorosilane on hydroxyl<strong>at</strong>ed silica. ........67<br />

Figure 4.2: From Chuang, et al. 16 Side views <strong>of</strong> specific silicon planes (dashed <strong>line</strong>s<br />

representing an edge <strong>of</strong> such a plane) <strong>of</strong> β-cristobalite. Drawn approxim<strong>at</strong>ely to<br />

scale: (a) 111-face; (b) 100-face; (c) vicinal sites from dehydr<strong>at</strong>ion <strong>of</strong> the 100face....................................................................................................................72<br />

Figure 5.1: Illustr<strong>at</strong>ion <strong>of</strong> perfect and imperfect separ<strong>at</strong>ion <strong>of</strong> imprint templ<strong>at</strong>e from<br />

substr<strong>at</strong>e. ...........................................................................................................98<br />

Figure 5.2: Comparison <strong>of</strong> (a) w<strong>at</strong>er contact angle and (b) F:Si XPS peak area r<strong>at</strong>io<br />

for samples tre<strong>at</strong>es <strong>at</strong> 20 °C for various reaction times. .................................106<br />

xv

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!