22.01.2013 Views

DS 7-7R 17-12R Semiconductor Fabrication Facilities ... - FM Global

DS 7-7R 17-12R Semiconductor Fabrication Facilities ... - FM Global

DS 7-7R 17-12R Semiconductor Fabrication Facilities ... - FM Global

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

7-<strong>7R</strong><br />

REFERENCE DOCUMENT<br />

<strong>17</strong>-<strong>12R</strong> SEMICONDUCTOR FABRICATION FACILITIES<br />

Page 36<br />

Package—The finished integrated circuit unit which consists of the chip fastened to a frame inside a ceramic<br />

or plastic case whose metal leads can be inserted into printed circuit boards. Can also refer to the case only.<br />

Passivation—Usually a silicon dioxide or silicon nitride layer put over an existing layer of the wafer to protect<br />

against moisture, contamination and abrasion.<br />

Pass-through—An enclosure installed in a wall with a door on each side that allows chemicals, production<br />

materials, equipment and parts to be transferred from one side of the wall to the other.<br />

Pattern Generator—Optical or E-Beam tool used to make the mask plates or reticles.<br />

PECVD—Plasma Enhanced Chemical Vapor Deposition.<br />

Pellicle—A protective film covering on a frame adhered to a mask plate which keeps contaminants off the<br />

mask surface.<br />

Photoresist—A light-sensitive, frequently flammable liquid which is sprayed on the wafer, exposed and developed<br />

to make the circuit image during the wafer fabrication process. Similar to film in an ordinary camera<br />

in its sensitivity to light.<br />

Plasma—A high energy gas made up of ionized particles.<br />

Plasma Etcher—A machine in which a high energy RF field excites the gas molecules in the chamber to<br />

a high level causing a reaction in which unprotected sections of an oxide layer are removed.<br />

Plasma Etching—An etching process which accomplishes results similar to the chemical etch mechanism<br />

reaction using an etching gas instead of a wet chemical.<br />

Polishing—The process whereby a mirror-like finish is put on raw wafers after slicing.<br />

Poly—Polycrystalline silicon. Usually grown in layers epitaxially to form part of the circuit structure. Also the<br />

raw material for the melt for crystal growth.<br />

Projection/Promixity—Masking exposure methods in which the wafer and mask plate have no contact,<br />

thus lengthening the mask usage due to less contamination of the mask plate.<br />

Puller—Furnace for growing silicon crystals. Refers to the process of pulling the crystal out of the molten<br />

silicon.<br />

Pyrophoric—A substance which ignites spontaneously in air below 130°F (54°C).<br />

RCA Clean—A multiple-step process to clean wafers before oxidation; named after RCA, the company that<br />

developed the procedure. Chemicals used include mixtures of water, hydrogen peroxide and ammonium<br />

hydroxide (step 1) or hydrochloric acid (step 2).<br />

Reactive Ion Etching (RIE)—An etching process that combines plasma and ion beam removal of the surface<br />

layer. The etchant gas enters the reaction chamber and is ionized. The individual molecules accelerate<br />

to the wafer surface. At the surface, the top layer removal is achieved by the physical and chemical<br />

removal of the material.<br />

Reticle—A miniature reproduction of one layer of a circuit drawing on an emulsion or chrome covered glass<br />

plate. Typically 5xor10xinsize it will be reduced and reproduced many times on a mask blank.<br />

RTO (Rapid Thermal Oxidation)—An RTP technology used to grow very thin (usually less than 100<br />

Angstorms) MOS gate oxide layers.<br />

RTP (Rapid Thermal Processing)—A process usually using high intensity tungsten halogen lamps to heat<br />

and cool a wafer in seconds.<br />

Seed—In crystal growing a piece of single-crystal structured silicon which upon contact with the melt (molten<br />

poly-silicon) starts a crystal or ingot to be grown which has same single-crystal structure as that of the seed.<br />

SEM—Scanning Electron Microscope. Used in examining portions of circuit by allowing the viewer to see<br />

an image as much as 15,000 times its actual size.<br />

<strong>Semiconductor</strong>—An element such as silicon or germanium intermediate in electrical conductivity between<br />

the conductors and the insulators.<br />

Slicing—The cutting of a silicon crystal in a saw in order to make wafers on which ICs will be made.<br />

©2003 Factory Mutual Insurance Company. All rights reserved.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!