03.04.2013 Views

Synopsys Design Flow

Synopsys Design Flow

Synopsys Design Flow

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Introduction<br />

PrimeTime is a full-chip, gate-level static timing<br />

analysis tool that is an essential part of the<br />

design and analysis flow for today's large chip<br />

designs.<br />

PrimeTime validates the timing performance of<br />

a design by checking all possible paths for<br />

timing violations, without using logic simulation<br />

or test vectors.<br />

© <strong>Synopsys</strong> 2012 126

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!