02.08.2013 Views

Xilinx Command Line Tools User Guide: (UG628)

Xilinx Command Line Tools User Guide: (UG628)

Xilinx Command Line Tools User Guide: (UG628)

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Chapter 2: Design Flow<br />

You can enter constraints manually or use the Constraints Editor or FPGA Editor, which<br />

are graphical user interface (GUI) tools provided by <strong>Xilinx</strong>®. You can use the Timing<br />

Analyzer GUI or TRACE command line program to evaluate the circuit against these<br />

constraints by generating a static timing analysis of your design. See the TRACE chapter<br />

and the online Help provided with the ISE® Design Suite for more information. For<br />

more information on constraints, see the Constraints <strong>Guide</strong> (UG625).<br />

Mapping Constraints (FPGAs Only)<br />

You can specify how a block of logic is mapped into CLBs using an FMAP for all<br />

Spartan® and Virtex® FPGA architectures. These mapping symbols can be used in<br />

your schematic. However, if you overuse these specifications, it may be difficult to<br />

route your design.<br />

Block Placement<br />

Block placement can be constrained to a specific location, to one of multiple locations, or<br />

to a location range. Locations can be specified in the schematic, with synthesis tools,<br />

or in the <strong>User</strong> Constraints File (UCF). Poor block placement can adversely affect both<br />

the placement and the routing of a design. Only I/O blocks require placement to meet<br />

external pin requirements.<br />

Timing Specifications<br />

You can specify timing requirements for paths in your design. PAR uses these timing<br />

specifications to achieve optimum performance when placing and routing your design.<br />

Netlist Translation Programs<br />

Design Implementation<br />

Netlist translation programs let you read netlists into the <strong>Xilinx</strong>® software tools.<br />

EDIF2NGD lets you read an Electronic Data Interchange Format (EDIF) 2 0 0 file. The<br />

NGDBuild program automatically invokes these programs as needed to convert your<br />

EDIF file to an NGD file, the required format for the <strong>Xilinx</strong> software tools. NGC files<br />

output from the <strong>Xilinx</strong> XST synthesis tool are read in by NGDBuild directly.<br />

You can find detailed descriptions of the EDIF2NGD, and NGDBuild programs in the<br />

NGDBuild chapter and the EDIF2NGD and NGDBuild Appendix.<br />

Design Implementation begins with the mapping or fitting of a logical design file to a<br />

specific device and is complete when the physical design is successfully routed and a<br />

bitstream is generated. You can alter constraints during implementation just as you did<br />

during the Design Entry step. See Constraints for information.<br />

The following figure shows the design implementation process for FPGA designs:<br />

<strong>Command</strong> <strong>Line</strong> <strong>Tools</strong> <strong>User</strong> <strong>Guide</strong><br />

22 www.xilinx.com <strong>UG628</strong> (v 14.2) July 25, 2012

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!