23.11.2014 Views

2012-2016 Microsystem Technology Strategy and Roadmaps

2012-2016 Microsystem Technology Strategy and Roadmaps

2012-2016 Microsystem Technology Strategy and Roadmaps

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

CMC <strong>Microsystem</strong>s <strong>and</strong><br />

Canada’s National Design Network<br />

<strong>2012</strong>-<strong>2016</strong><br />

<strong>Microsystem</strong> <strong>Technology</strong><br />

<strong>Strategy</strong> <strong>and</strong> <strong>Roadmaps</strong><br />

October 6, <strong>2012</strong><br />

[Reference: MTSR rev2.37b]<br />

© <strong>2012</strong> CMC Mirosystems – Proprietary <strong>and</strong> Confidential<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s 1


Content<br />

• Objectives<br />

• Linking technologies <strong>and</strong> applications<br />

• Roadmap creation process<br />

• CMC’s microsystem technology priorities<br />

• <strong>Technology</strong> strategy <strong>and</strong> roadmaps<br />

– Microelectronics<br />

– Photonics<br />

– Embedded systems<br />

• Appendix I<br />

– CMC mission <strong>and</strong> vision<br />

– Canada science <strong>and</strong> technology R&D priority areas, STIC<br />

– 2009 roadmap<br />

– <strong>Technology</strong> Readiness Levels (TRL)<br />

– CMC Solutions – R&D Themes<br />

– References<br />

• Appendix II<br />

Other technology focuses <strong>and</strong> views:<br />

– MEMS<br />

– Microfluidics (uF)<br />

– Packaging <strong>and</strong> assembly (P&A)<br />

– Test <strong>and</strong> design-for-test<br />

– Nanotechnology<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 2


Context, Purpose, Objectives<br />

• What is CMC’s <strong>Technology</strong> Roadmap?<br />

– Representation of technologies or capabilities relevant to NDN with<br />

indication of a timeframe when the first elements (design,<br />

prototyping, test) of a technology are expected to be available or<br />

introduced.<br />

– Drawn upon a number of sources including stakeholder feedback,<br />

market intelligence, technical reports, publications, other roadmaps,<br />

known availability of supply, etc..<br />

• Purpose <strong>and</strong> Objectives<br />

– Identify <strong>and</strong> align stakeholder needs <strong>and</strong> technologies required to<br />

satisfy those needs<br />

– Translate corporate objectives to technology targets, achieve<br />

corporate mission<br />

– Support planning <strong>and</strong> guide resource deployment – a driver for<br />

CMC’s operating plan<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 3


Roadmap Process<br />

<strong>Roadmaps</strong> integrate commercial <strong>and</strong> technological knowledge (EIRMA, 1997)<br />

Stakeholder<br />

feedback<br />

CMC Solutions<br />

R&D Themes<br />

Today we are here<br />

September <strong>2012</strong><br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 4


Application Space: Biomedical, ICT, Automotive, Consumer, Portable, Aerospace, Defense, Environment, Energy, etc..<br />

<strong>Microsystem</strong>s<br />

Functions<br />

Attributes<br />

Technologies<br />

Emerging<br />

Technologies<br />

More Moore<br />

<strong>Strategy</strong><br />

More than<br />

Moore<br />

Application Specific<br />

Technologies<br />

Design<br />

Prototyping<br />

Test<br />

Materials<br />

Devices<br />

Components<br />

Systems<br />

Modules<br />

Software<br />

Algorithms<br />

© <strong>2012</strong> CMC <strong>Microsystem</strong>s<br />

5


Roadmap Process –<br />

Stakeholder Engagement<br />

• Initial phase<br />

– Environmental scan<br />

– Straw-man roadmaps<br />

– Initial stakeholder feedback<br />

– Refinement<br />

• Three <strong>Technology</strong> Advisory Committee meetings<br />

– Strategic Directions in Microelectronics, TAC13 July 2011<br />

– Strategic Directions in Photonics, TAC114 November 2011<br />

– Strategic Directions Enabling Embedded Systems R&D, TAC116 April <strong>2012</strong><br />

• Four stakeholder roadmap sessions<br />

– Photonics North <strong>2012</strong>, Ottawa June <strong>2012</strong><br />

– NEWCAS <strong>2012</strong>, Montreal (2 sessions) June <strong>2012</strong><br />

– CMOSET <strong>2012</strong>, Vancouver July <strong>2012</strong><br />

• A number of individual stakeholder meetings <strong>and</strong> interactions took place seeking<br />

feedback on preliminary roadmaps<br />

• Stakeholder outreach to date - more than 80 industry <strong>and</strong> academia members.<br />

Process ongoing!<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 6


<strong>2012</strong>-<strong>2016</strong> CMC/NDN<br />

<strong>Microsystem</strong> <strong>Technology</strong> Priorities<br />

• <strong>Technology</strong> focus: Integration<br />

– Multi-energy domain integration (electronics, photonics, MEMS, fluidics)<br />

– Hybrid integration as a primary integration approach<br />

– Functional diversification<br />

– Embedded software<br />

– Miniaturization<br />

Commercialization<br />

• Application focus: Sensors<br />

– Sensing technologies (transducers)<br />

– Signal conditioning / processing / actuation<br />

– Communication / wireless / networking<br />

– Embedded intelligence /programmability<br />

– Energy harvesting / generation / storage<br />

• Applications: “General Purpose <strong>Technology</strong>”<br />

– Communications, Healthcare, Transportation<br />

– Energy, Environment, Security<br />

– Sector supply chain relevant<br />

Application Space /<br />

Market Segments<br />

Application<br />

<strong>Technology</strong><br />

• Business perspective: Commercialization<br />

– <strong>Technology</strong> scalability<br />

– <strong>Technology</strong> industrial relevance<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 7


Embedded<br />

Systems<br />

• Embedded intelligence<br />

• Programmability<br />

MICROSYSTEMS<br />

Photonics<br />

• Signal processing<br />

• Communication<br />

• Sensing<br />

• Energy generation<br />

MEMS, Microfluidics,<br />

Nanotechnology<br />

• Sensing<br />

• Actuation<br />

• Material property modification<br />

• Energy generation<br />

Microelectronics<br />

• Signal processing<br />

• Communication<br />

• Data storage / memory<br />

<strong>Microsystem</strong>s Technologies – Domains <strong>and</strong> Functions<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 8


Roadmap Structure<br />

Categories<br />

<strong>Technology</strong><br />

themes<br />

Roadmap items<br />

Technologies <strong>2012</strong> 2013 2014 2015 <strong>2016</strong><br />

Component Technologies<br />

Integration<br />

Component<br />

technologies<br />

Silicon Semiconductor 28nm CMOS 22nm CMOS 14nm FD SOI<br />

Advanced CMOS<br />

FinFET model 20nm FD SOI 14nm FinFET<br />

Imaging/Opto CMOS Imaging/Opto 0.13/0.18 CMOS Color filter / microlens imaging CMOS<br />

HV CMOS HV CMOS library 0.18 HV CMOS<br />

0.13um 3D IC 90nm/65nm 3D IC Heterogenious 3D IC<br />

3D IC<br />

Back‐to‐face 3D IC stacking<br />

High‐aspect ratio TSV (>20:1)<br />

Multi‐tier (2+) 3D IC<br />

Compound Semiconductor GaN Field‐plate <strong>and</strong> low‐leakage GaN Through via GaN 0.25um GaN<br />

SiC<br />

SiC<br />

SiGe 200GHz 0.13um SiGe 300GHz 90nm SiGe<br />

Hybrid Integration & Packaging SiP Multi‐die planar 2.5D SIP 3D SiP<br />

Integration<br />

technologies<br />

Interposer Coarse pitched interposer (200 um) Interposer with COTS/KGD Fine pitched interposer (20um)<br />

Substrate<br />

Focus areas<br />

Packaging <strong>and</strong> Assembly<br />

Low‐loss high‐frequency (>70GHz) Heat dissipation substrate Fine line substrate (trace/space 10um)<br />

Substrate with embedded functions<br />

Flip‐chip (60um pitch) Solderless flip‐chip 1GHz+ RF Package Flip‐chip (35um pitch)<br />

Heavy‐duty wirebond High‐power device packaging Vacuum packaging<br />

Fine‐pitch wirebond (35um) High temperature packaging (120‐350C) Packaging for flexible systems<br />

Energy Generation <strong>and</strong> Storage Photovoltaic energy harvesting Super‐capacitor Kinetic/thermal energy harvesting Chemical energy harvesting<br />

Postprocessing/Functionalization<br />

<strong>Technology</strong> capability / features<br />

Bio‐compatible coating TSV on die Surface functionalization deposition<br />

Die thinning<br />

Transducer/sensor layer deposition<br />

Monolithic Multi‐domain Integration Integrated CMOS/MEMS Integrated CMOS/MEMS<br />

Integrated CMOS/Photonics<br />

Integrated CMOS/Photonics<br />

New<br />

emerging<br />

New <strong>and</strong> Emerging<br />

Printable/Flexible Electronics<br />

Nanoelectronics<br />

Printable electronics<br />

Flexible electronics<br />

<strong>2012</strong> 2013 2014<br />

Nanoelectronics<br />

2015 <strong>2016</strong><br />

Timeline<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 9


Embedded System<br />

<strong>Technology</strong> <strong>Strategy</strong><br />

• Deliver programmable technologies to enable development of autonomous,<br />

reactive systems that can be embedded in user environments, <strong>and</strong> that can<br />

provide capabilities such as system self-test <strong>and</strong> calibration, self-repair <strong>and</strong><br />

in-field upgrades<br />

• Use a programmable, platform-based approach for:<br />

– Accelerated microsystem development cycle<br />

– Enhanced usability <strong>and</strong> smoother transition between microsystem<br />

instantiations (e.g., from benchtop demonstration to field trial), leading<br />

to increased commercialization potential<br />

• Provide commercially-available (or commercially-developed) platforms,<br />

tools, IP; open-source or st<strong>and</strong>ards-based infrastructure; custom<br />

development targeting filling gaps or improving usability<br />

• Strategic technology elements include parallel programming, sensor<br />

integration, model-based design, verification <strong>and</strong> reliability, energy-aware<br />

programming <strong>and</strong> code analysis, cyber-physical systems, software<br />

development <strong>and</strong> virtualization, <strong>and</strong> Application-specific Instruction-set<br />

Processors (ASIPs)<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 10


<strong>2012</strong>‐<strong>2016</strong><br />

Embedded Systems<br />

<strong>Technology</strong> Roadmap<br />

Technologies <strong>and</strong> Strategic Elements<br />

<strong>2012</strong> 2013 2014 2015 <strong>2016</strong><br />

Design Methodology Multiprocessor virtual platform Heterogeneous multiprocessorAutomated code parallelization Dynamic code optimization<br />

HW/SW Co‐design System‐level Power analysis/optimization Automated partitioning<br />

Simulink‐based flow<br />

Authoring for certification/reliability<br />

Verification Single‐processor static/dynamic code analysis Multiprocessor static/dynamic code analysis<br />

Platform emulation<br />

MEMS/Microfluidics Hardware‐in‐the‐loop Photonics Hardware‐in‐the‐loop "Bio‐in‐the‐loop"<br />

Software Development 100k lines of code Real‐time Integrated debug instrumentation<br />

Custom C Compiler generation<br />

C Compiler Designer/Optimization<br />

25 software functions/program 50 software functions/program<br />

Power trace debugging<br />

Languages LabView UML<br />

C/C++ OpenCL SystemC‐AMS Cross‐platform design language<br />

OpenMP Synthesizable OpenCL<br />

FPGA 2M Logic Cells 4M Logic Cells 8M Logic Cells 16M Logic Cells<br />

Dual‐core embedded processor<br />

Soft/firm processor<br />

Partial reconfiguration<br />

Quad‐core embedded processor<br />

Processor 32‐bit 8‐core 64‐bit quad‐core 64‐bit 8‐core 64‐bit 16‐core<br />

8k L1 cache SRAM Benchmarking cluster 16k L1 cache SRAM<br />

ASIP<br />

Next generation memory (beyond flash)<br />

Soft GPU<br />

Operating Systems Single‐processor RTOS Mobile OS<br />

Dynamic computational load balancing<br />

Sensor/actuator library<br />

Heterogeneous multicore RTOS<br />

Interconnect <strong>and</strong> Communications Electrical network on chip Optical switching fabric<br />

Zigbee PCIe Gen 3 PCIe Gen 4<br />

Bluetooth low energy Optical USB End‐to‐end, secure low‐power protocol<br />

Cyber‐Physical Systems Sensor fusion Integer linear programming tools Closed loop microsensor control<br />

Wearable computing<br />

Time synchronization<br />

Power‐scavenging<br />

<strong>2012</strong> 2013 2014<br />

2015 <strong>2016</strong><br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 11


Microelectronics<br />

<strong>Technology</strong> <strong>Strategy</strong><br />

• The core microsystem-enabling hardware technology<br />

• Continuous emphasis on CMOS for providing essential functions of<br />

signal processing, conditioning, <strong>and</strong> data storage to the<br />

microsystems<br />

• Focus on system integration <strong>and</strong> functional diversification through<br />

incorporation of other domain technologies (photonics, MEMS,<br />

microfluidics, nanotechnology processes)<br />

– Hybrid integration - a primary vehicle for system integration<br />

– Monolithic integration – addressing limited application space<br />

• Addressing application-specific performance requirements through<br />

compound semiconductor, high-voltage, imaging <strong>and</strong> other<br />

technologies<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 12


<strong>2012</strong>‐<strong>2016</strong><br />

Microelectronics <strong>Technology</strong> Roadmap<br />

Technologies <strong>2012</strong> 2013 2014 2015 <strong>2016</strong><br />

Component Technologies<br />

Silicon Semiconductor 28nm CMOS 22nm CMOS 14nm FD SOI<br />

Advanced CMOS<br />

FinFET model 20nm FD SOI 14nm FinFET<br />

Imaging/Opto CMOS Imaging/Opto 0.13/0.18 CMOS Color filter / microlens imaging CMOS<br />

HV CMOS HV CMOS library 0.18 HV CMOS<br />

0.13um 3D IC 90nm/65nm 3D IC Heterogeneous 3D IC<br />

3D IC<br />

Face‐to‐face 3D IC stacking<br />

Back‐to‐face 3D IC stacking<br />

High‐aspect ratio TSV (>20:1)<br />

Multi‐tier (2+) 3D IC<br />

Compound Semiconductor GaN Field‐plate <strong>and</strong> low‐leakage GaN Through via GaN 0.25um GaN<br />

SiC<br />

SiC<br />

SiGe 200GHz 0.13um SiGe 300GHz 90nm SiGe<br />

Hybrid Integration & Packaging SiP Multi‐die planar 2.5D SIP 3D SiP<br />

Interposer Coarse pitched interposer (200 um) Interposer with COTS/KGD Fine pitched interposer (20um)<br />

Substrate<br />

Low‐loss high‐frequency (>70GHz) Heat dissipation substrate Fine line substrate (trace/space 10um)<br />

Substrate with embedded functions<br />

Integration<br />

Packaging <strong>and</strong> Assembly<br />

Flip‐chip (60um pitch) Solderless flip‐chip RF Package Flip‐chip (35um pitch)<br />

Heavy‐duty wirebond High‐power device packaging Vacuum packaging<br />

Fine‐pitch wirebond (35um) High temperature packaging (120‐350C) Packaging for flexible systems<br />

Energy Generation <strong>and</strong> Storage Photovoltaic energy harvesting Super‐capacitor Kinetic energy harvesting Chemical energy harvesting<br />

Postprocessing/Functionalization<br />

Bio‐compatible coating Die thinning TSV on die<br />

Surface functionalization<br />

Transducer/sensor layer deposition<br />

Monolithic Multi‐domain Integration Integrated Electronics/MEMS MEMS on GaN Integrated CMOS/MEMS<br />

Integrated Electronics/Photonics<br />

Integrated CMOS/Photonics<br />

Integrated Electronics/uF Digital uF ISFET/uF Integrated CMOS/uF<br />

New <strong>and</strong> Emerging<br />

Printable electronics<br />

Printable/Flexible Electronics<br />

Flexible electronics<br />

Nanoelectronics<br />

<strong>2012</strong> 2013 2014<br />

Nanoelectronics<br />

2015 <strong>2016</strong><br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 13


Photonics<br />

<strong>Technology</strong> <strong>Strategy</strong><br />

• Photonics as a systems-enabling technology:<br />

focus on integration<br />

– More photonic functionality on the same chip (silicon<br />

photonics, InP integration)<br />

– Integration of photonics <strong>and</strong> microelectronics<br />

• Monolithic approaches (SOI+CMOS, InP)<br />

• Hybrid approaches– packaging & assembly<br />

– Integration of photonics with microfluidics & MEMS<br />

• Support some custom device fabrication<br />

• Support some activity in new materials<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 14


<strong>2012</strong>-<strong>2016</strong><br />

Photonics <strong>Technology</strong> Roadmap<br />

Photonics Technologies <strong>2012</strong> 2013 2014 2015 <strong>2016</strong><br />

Silicon Semiconductor SOI passive waveguiding structures with nanoscale features<br />

Component Technologies<br />

Advanced SOI silicon waveguides integrated with heaters, silicon lasers?<br />

modulators, detectors<br />

Si3N4/Si/SiO2<br />

Etched facets<br />

Si3N4/SiO2 waveguides<br />

Compound Semiconductor InP‐based Quantum dot lasers at 1550nm<br />

Selective area epitaxy<br />

GaAs‐based<br />

Epitaxy: quantum cascade structures<br />

Quantum cascade lasers<br />

III‐V integration platform InP integration platform Advanced integration platform<br />

Hybrid Integration & Packaging Packaging & assembly CMOS + photonics Source/detector + photonics<br />

Interconnects Fibre‐to‐chip coupling Fibre array to chip coupling with electrical I/Os<br />

Integration<br />

Surface functionalization AR/LR/HR coating Bio‐functionalized<br />

optical sensors<br />

III‐V + silicon photonics<br />

integrated III‐V/Si devices<br />

Monolithic Multi‐domain Integration Photonics + CMOS SOI + CMOS (130nm) monolithically integrated<br />

silicon OEIC?<br />

Photonics + microfluidics Si3N4 waveguide + microfluidics SOI nanophotonics + microfluidics Fluidic waveguides<br />

Photonics + MEMS<br />

MEMS with embedded waveguides<br />

New <strong>and</strong> Emerging<br />

New materials<br />

Nanoplasmonics Nanoplasmonic waveguides Nanoplasmonic biosensors<br />

<strong>2012</strong> 2013 2014<br />

2015 <strong>2016</strong><br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 15


Current Status <strong>and</strong> Next Steps<br />

• Ongoing engagement with stakeholders - through September <strong>and</strong><br />

October meetings are being planned with researchers <strong>and</strong> the<br />

industry (e.g., CMC Symposium)<br />

• Final comments to be solicited in the October/November timeframe<br />

• Once targets are defined, focus to be shifted on planning.<br />

<strong>Roadmaps</strong> to drive development of CMC’s 2013/2015 operating<br />

plan<br />

• The roadmaps to be updated during the next refreshment cycle in<br />

2014 (every two years).<br />

• CMC Solutions R&D program is the primary vehicle for introducing<br />

new technologies - supported by the roadmap exercise (both<br />

technology or product <strong>and</strong> service roadmaps)<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 16


Appendix I<br />

1. CMC vision <strong>and</strong> mission<br />

2. Canada R&D science <strong>and</strong> technology priority areas<br />

3. 2009 technology roadmap<br />

4. Current R&D themes<br />

5. Stakeholder feedback summary<br />

6. <strong>Technology</strong> readiness level<br />

7. References<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 17


CMC’s Vision <strong>and</strong> Mission<br />

– Vision: CMC enables <strong>and</strong> enhances the<br />

competitiveness of Canadian industry <strong>and</strong><br />

researchers through innovation in the development<br />

<strong>and</strong> application of microsystems.<br />

– Mission: CMC enables <strong>and</strong> supports the creation <strong>and</strong><br />

application of micro- <strong>and</strong> nano-system knowledge by<br />

providing a national infrastructure for excellence in<br />

research <strong>and</strong> a path to commercialization of related<br />

devices, components <strong>and</strong> systems.<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 18


Canadian Science <strong>and</strong> <strong>Technology</strong><br />

R&D Priority Areas<br />

Reference: State of The Nation 2008 - Canada's Science, <strong>Technology</strong> <strong>and</strong> Innovation System<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 19


Integrated <strong>Microsystem</strong><br />

Architecture Attributes<br />

Design Methodology<br />

<strong>Technology</strong> for Devices,<br />

Structures <strong>and</strong><br />

Transducers (sensors<br />

<strong>and</strong> actuators)<br />

Test Methodology<br />

Legend: Arrows indicate continuing<br />

enhancement or new options.<br />

Infrastructure <strong>Technology</strong> Roadmap 2009<br />

Footprint: 10 cm 3 (includes folded PCB)<br />

Footprint: 1 cm 3<br />

Stack: 5 layers, boards 2 (accelerometer, temp) .. Add-in sensor options … 8 <br />

Stack: TSV devices<br />

Power: mW<br />

Hours/days .. Operating time … Years/indefinite <br />

Power: nW<br />

RF centre: 430MHz, 870MHz, 2.5GHz <br />

passive, COTS, chip-scale … Antenna …active, tunable, monolithically integrated <br />

Programmability: small footprint<br />

flash, register setup<br />

Mixed-signal FPGA<br />

Programmability: SDR,<br />

reconfigurable hardware<br />

Kit: digital <br />

Design-for-assembly (flow)<br />

Kit: analog St<strong>and</strong>ard, scalable system High-speed clock <strong>and</strong> data Interfaces optimised for Condition telemetry<br />

Kit: RF <br />

driver <strong>and</strong> test interface recovery modules bench-top multi-sensor (hardware, software)<br />

Kit: MEMS <br />

system prototyping<br />

Kit: microfluidics <br />

Kit: real-time operations <br />

Communications protocol stack tools<br />

Kit: Multi-tech prototyping flow <br />

Multi-processor debug <br />

Code parallelization tools <br />

Simulation: co-simulation methods <strong>and</strong> portfolio of point simulators on-dem<strong>and</strong> <br />

Power: battery Power: scavenging Power: PV/solar Power: scavenging/implantable<br />

CMOS: 800nm to 45nm <br />

CMOS: 32nm<br />

GaN: 0.8µm Ft~ 20GHz <br />

GaN: 0.4µm Ft~ 60GHz GaN: Ft~ 125GHz<br />

Photonic crystals/SOI III-V Qdot lasers III-V Qwell intermixing Photonics/GaN III-V Qcascade structures<br />

MEMS <br />

Microfluidics <br />

Si-photonics<br />

Si-fluidics Si-other enhancements <br />

SiC substrate technologies <br />

Nanotechnology region epi lift-off<br />

Coatings: inorganic Coatings: organic<br />

Coatings: bio-compatible<br />

Interposer: embedded passives<br />

SIP test interface<br />

Interposer: embedded active<br />

Substrate: LTCC<br />

Substrate: RF signal Substrate: RF+<br />

Substrate: photonic+<br />

optimised<br />

microfluidic optimised microfluidic optimised<br />

Fixturing/assembly: small footprint optics <br />

Fixturing: microfluidics <br />

Fixturing: 600MHz digital<br />

Fixturing: multi-technology <br />

Fixturing: photonics <br />

Nano-scale wire interconnect<br />

MEMS<br />

resonator<br />

Near IR λ test<br />

MEMS test module<br />

(optical, 20 KHz)<br />

Visible λ test<br />

Portable environmental<br />

test chambers<br />

100 Ghz Telecom test<br />

100 GHz BERT<br />

THz component test<br />

Instrument signal sensitivity<br />

on femto-scale<br />

Embedded software<br />

debug <strong>and</strong> on-line lab.<br />

New baseline instrumentation<br />

cage <strong>and</strong> racked instruments<br />

Extend rack options for select<br />

technologies (photonics/microfluidics)<br />

2009 2010 2011<br />

<strong>2012</strong> 2013<br />

2014<br />

Roadmap Period of Interest: April 2009 to March 2015<br />

© <strong>2012</strong> CMC <strong>Microsystem</strong>s 20


<strong>Technology</strong> Readiness Levels<br />

• CMC <strong>Microsystem</strong>s micro-nano<br />

technology projects fit to <strong>Technology</strong><br />

Readiness Levels TRL2 to TRL5<br />

• DMT <strong>Microsystem</strong>s engineering<br />

work fits TRL4 to TRL7<br />

TRL 1<br />

TRL 2<br />

TRL 3<br />

TRL 4<br />

TRL 5<br />

TRL 6<br />

TRL 7<br />

TRL 8<br />

TRL 9<br />

Basic principles observed <strong>and</strong> reported.<br />

<strong>Technology</strong> concept <strong>and</strong>/or application<br />

invented.<br />

Active research <strong>and</strong> development is<br />

initiated.<br />

Component <strong>and</strong>/or breadboard<br />

validation in laboratory environment.<br />

Component <strong>and</strong>/or breadboard<br />

validation in basic technological relevant<br />

environment.<br />

System/subsystem model or prototype<br />

demonstrated in a relevant environment.<br />

System prototype demonstration in an<br />

operational environment.<br />

Actual system complete <strong>and</strong> purposequalified<br />

through test <strong>and</strong><br />

demonstration.<br />

Actual system “purpose-proven” through<br />

successful mission operations.<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 21


TRL 9: Successful Shipment <strong>and</strong>/or Operations: System proven<br />

through successful operations; actual application of the technology is<br />

in its final form<br />

TRL 8: Test <strong>and</strong> Demonstration: System completed <strong>and</strong> qualified<br />

through test <strong>and</strong> demonstration; technology has been proven to work<br />

in its final form<br />

TRL 7: Operational Prototype Demonstration: System prototype<br />

demonstration in a operational environment; prototype at or near<br />

operational system<br />

TRL 6: Prototype Demonstration: System/subsystem model or<br />

prototype demonstration in a relevant environment; representative<br />

model or prototype system is tested in a relevant environment, e.g. …<br />

TRL 5: Simulated Environment Testing: componenet <strong>and</strong>/or<br />

breadboard validation in relevant environment; technological<br />

components are integrated with reasonable realistic supporting …<br />

TRL 4: Trade-offs <strong>and</strong> Interfacing: Component <strong>and</strong>/or breadboard<br />

validation in lab environment; basic technological components are<br />

integrated to establish that the pieces work well together<br />

TRL3: Partitioning <strong>and</strong> Characterization: Analytical <strong>and</strong> experimental<br />

laboratory studies are a critical function; active research <strong>and</strong><br />

development initiated<br />

TRL2: Conceptualization: <strong>Technology</strong> concept ans/pr application<br />

formulated; invention begins<br />

<strong>Technology</strong> Readiness Level – Client Activity<br />

652 Faculty Members Reporting in 2010<br />

TRL1: Establishing Basic Principles: Basic Principles observed <strong>and</strong><br />

reported; scientific research begins<br />

0% 2% 4% 6% 8% 10% 12% 14% 16% 18% 20%<br />

Distribution of Faculty Member TRL Activities<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 22


CMC Solutions – R&D Themes<br />

June <strong>2012</strong><br />

1. Antenna Technologies for RF <strong>Microsystem</strong>s<br />

2. Localized B<strong>and</strong>gap Engineering<br />

3. Conditioning, Driver Circuits <strong>and</strong> IP Libraries<br />

4. Energy Sources & Management for Autonomous <strong>Microsystem</strong>s<br />

Prototypes<br />

5. Embedded Software for <strong>Microsystem</strong>s Proof-of-Concept<br />

6. Photonic Integration in a III-V Material System<br />

7. Novel Interposer Designs for <strong>Microsystem</strong>s<br />

8. Miniaturized <strong>Microsystem</strong> Prototypes, Modules <strong>and</strong> Packaging<br />

9. Photonic-Electronic Integration <strong>and</strong> Packaging<br />

10. System-level Modeling<br />

11. THz <strong>and</strong> sub-THz Related Technologies<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 23


References:<br />

1. State of The Nation 2008 - Canada's Science, <strong>Technology</strong> <strong>and</strong> Innovation System, STIC, 2008<br />

2. State of the Nation 2010 — Canada’s Science, <strong>Technology</strong> <strong>and</strong> Innovation System, STIC, 2010<br />

3. ITRS Roadmap, 2011<br />

4. The next Step in Assembly <strong>and</strong> Packaging: System Level Integration in the package (SiP), ITRS white paper v9.0<br />

5. iNEMI Roadmap, iNEMI, 2011<br />

6. SiC <strong>and</strong> GaN Power Electronics. Yole Development 2009 http://www.apecconf.org/2010/images/PDF/2009/special_presentations/sp1.7b_sic_gan_power_electronics_for_diffusion.pdf<br />

7. 3D technology roadmap <strong>and</strong> status, Marchal, P. et al.; Interconnect <strong>Technology</strong> Conference <strong>and</strong> 2011 Materials for Advanced Metallization (IITC/MAM), 2011 IEEE International, 2011 , Page(s): 1 – 3<br />

8. Recent innovations in CMOS image sensors, Fontaine, R., Advanced Semiconductor Manufacturing Conference (ASMC), 2011 22nd Annual IEEE/SEMI, 2011 , Page(s): 1 – 5<br />

9. Energy scavenging for mobile <strong>and</strong> wireless electronics, Paradiso, J.A.; Starner, T. Pervasive Computing, IEEE Volume: 4 , Issue: 1, Publication Year: 2005 , Page(s): 18 - 27<br />

10. The Future of Integrated Circuits: A Survey of Nanoelectronics, Haselman, M.; Hauck, S. Proceedings of the IEEE Volume: 98 , Issue: 1, 2010 , Page(s): 11 - 38<br />

11. Trends in MEMS Manufacturing & Packaging, Yole Development, 2011<br />

12. MEMS from Device to Function, Yole Development, 2011<br />

13. Motion Sensors for Mobile <strong>and</strong> Consumer Applications Report, Yole Development, 2011<br />

14. Emerging MEMS Technologies & Markets, Yole Development, 2010<br />

15. MANCEF International Micro/Nano Roadmap, MANCEF, 2007<br />

16. 3D Packaging Magzine on 3D IC, TSV, WLP & Embedded Die Technologies, Issue N 19, May 2011<br />

17. Emerging Nanophotonics, PhOREMOST Network of Excellence, 2008<br />

18. FlowMap: Microfluidics Roadmap for the Life Sciences (2004)<br />

19. The Origin <strong>and</strong> Future of Microfluidics: Nature (2006)<br />

20. Microfluidics: the Great Divide: Nature (2009)<br />

21. Microfluidics-based Diagnostics of Infectious Diseases in the Developing World, Nature Medicine (2011)<br />

22. Developing Optofluidic <strong>Technology</strong> through the Fusion of Microfuidics <strong>and</strong> Optics , Nature (2006)<br />

23. Optofluidic Microsystesm for Chemical <strong>and</strong> Biological Analysis, Nature Photonics (2011)<br />

24. Trends in Microfluidics: Review of Multi-Layer Soft Lithography, Department of Engineering Physics, The University of British Columbia http://www.phas.ubc.ca/~lamm/docs/CECppt.pdf<br />

25. Emerging Markets for Microfluidics, Yole (2011)<br />

26. Microfluidic Players Database, Yole (2010)<br />

27. POC Testing: Application of Microfluidic Technologies, Yole (<strong>2012</strong>)<br />

28. Making Light Work for Canada http://www.photonics.ca/Making%20Light%20Work%20for%20Canada_2008.pdf<br />

29. Photonics in Canada: Illuminating a World of Opportunity http://www.photonics.ca/Photonics_Opportunity%202008.pdf<br />

30. OIDA: Opportunities & Trends in Optoelectronic Manufacturing <strong>2012</strong><br />

31. OIDA: Metrics for Aggregation <strong>and</strong> Data Center Networks <strong>2012</strong><br />

32. OIDA Roadmap Workshop: Short-Distance High-Density Optical Interconnects 2011<br />

33. OIDA Silicon Photonics Workshop Summary Paper 2011<br />

34. Photonic Sensors: An OIDA Symposium Report 2011<br />

35. Fabrication Challenges <strong>and</strong> Opportunities in Photonics: An OIDA Forum Report 2010<br />

36. P. Coteus, J.Knickerbocker, C. Lam, <strong>and</strong> Y. Vlasov, “Technologies for Exascale systems” IBM Journ. R&D, 55, No.5, 2011<br />

37. Yurii A. Vlasov “Silicon CMOS-Integrated Nano-Photonics for Computer <strong>and</strong> Data Communications Beyond 100G” IEEE Comm. Mag., February <strong>2012</strong><br />

38. IBM: <strong>2012</strong> CLEO Plenary talk http://researcher.ibm.com/researcher/files/us-yvlasov/vlasov_CLEO_Plenary_0509<strong>2012</strong>.pdf<br />

39. Leonid G. Kazovsky, She-Hwa Yen <strong>and</strong> Shing-Wa Wong, "Photonic devices for next-generation broadb<strong>and</strong> fiber access networks", Proc. SPIE 7958, 795802 (2011);<br />

40. Jing Wu <strong>and</strong> Min Gu, "Microfluidic sensing: state of the art fabrication <strong>and</strong> detection techniques", J. Biomed. Opt. 16, 080901 (Aug 04, 2011);<br />

41. http://www.lionixbv.nl/technology/technology-integrated-optics.html<br />

42. L. Zhuang, D. Marpaung, M. Burla, W. Beeker, A. Leinse, <strong>and</strong> Chris Roeloffzen, "Low-loss, high-index-contrast Si3N4/SiO2 optical waveguides for optical delay lines in microwave photonics signal processing," Opt. Express 19, 23162-23170 (2011)<br />

43. JePPIX Roadmap http://www.jeppix.eu/document_store/JePPIX_Roadmap_<strong>2012</strong>.pdf<br />

44. State of the art on Photonics on CMOS, 3rd update http://www.helios-project.eu/content/download/415/2605/file/HELIOS_D010_public.pdf<br />

45. HELIOS roadmap first version http://www.helios-project.eu/content/download/286/1899/file/HELIOS_D101.pdf<br />

46. Sciancalepore, C. et al., "CMOS-Compatible Ultra-Compact 1.55- μ m Emitting VCSELs Using Double Photonic Crystal Mirrors," Photonics <strong>Technology</strong> Letters, IEEE , vol.24, no.6, pp.455-457, March15, <strong>2012</strong><br />

47. Lamponi, M.; Keyvaninia et al., "Low-Threshold Heterogeneously Integrated InP/SOI Lasers With a Double Adiabatic Taper Coupler," Photonics <strong>Technology</strong> Letters, IEEE , vol.24, no.1, pp.76-78, Jan.1, <strong>2012</strong><br />

48. Zhen Sheng, Liu Liu, Joost Brouckaert, Sailing He, <strong>and</strong> Dries Van Thourhout, "InGaAs PIN photodetectors integrated on silicon-on-insulator waveguides," Opt. Express 18, 1756-1761 (2010)<br />

49. Electronic-Photonic Heterogeneous Integration (E-PHI), Solicitation Number: DARPA-BAA-11-45 https://www.fbo.gov/index?s=opportunity&mode=form&id=d45ee2d532e605839ecc197640928052&tab=core&_cview=1<br />

50. Alex<strong>and</strong>ros Emboras et al. "MNOS stack for reliable, low optical loss, Cu based CMOS plasmonic devices," Opt. Express 20, 13612-13621 (<strong>2012</strong>)<br />

51. Delacour, C.; Grosse et al.."Metal-oxide-silicon nanophotonics: An efficient integration of plasmonic nano-slots with silicon waveguides," Group IV Photonics (GFP), 2010 7th IEEE International Conference on , vol., no., pp.34-36, 1-3 Sept. 2010<br />

52. Volker J. Sorger et al. "Experimental demonstration of low-loss optical waveguiding at deep sub-wavelength scales", Nature Communications, Vol. 2, 331, 2011<br />

53. Peng Zhang et al. "Plasmonic Airy beams with dynamically controlled trajectories," Opt. Lett. 36, 3191-3193 (2011)<br />

54. Nanophotonics Foresight Report 2010 http://www.nanophotonicseurope.org/images/Documents/nea_foresight_report_2011.pdf<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 24


Appendix II<br />

Other Roadmap Views<br />

The appended material represents an elaboration of a few<br />

selected topics:<br />

1. MEMS<br />

2. Microfluidics<br />

3. Packaging <strong>and</strong> assembly<br />

4. Test, measurement, design-for-test<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 25


MEMS <strong>Technology</strong> Focus<br />

• Focus on integratibility of MEMS with microelectronics, photonics, <strong>and</strong><br />

microfluidics in a microsystem<br />

• Use two main methods to integrate MEMS with microsystem technologies<br />

– Post-processing <strong>and</strong> monolithic integration (deposition, etching, surface<br />

micromachining of a die or wafer, embedded waveguides, MEMS on<br />

CMOS, etc.)<br />

– Conventional packaging & assembly (flip-chip, wirebonding, SiP, etc.)<br />

• Support emerging silicon <strong>and</strong> new material based MEMS technologies for<br />

developing custom components targeting<br />

– RF MEMS<br />

– Energy Harvesting<br />

– Optical MEMS<br />

• In addition to commercial sourcing, increased involvement with FACT (MNT<br />

labs) for delivering technologies for building customized MEMS devices or<br />

components<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 26


<strong>2012</strong>-<strong>2016</strong><br />

MEMS View of the Roadmap<br />

Application Specific<br />

Technologies <strong>2012</strong> 2013 2014 2015<br />

RFMEMS Gold‐based MEMS Diamond‐on‐Insulator (DOI)<br />

<strong>2016</strong><br />

Component<br />

Technologies<br />

Energy harvesting MEMS Piezoelectric energy harvesting Electrostatic energy harvesting<br />

Optical MEMS Flat 1mm+ diameter single mirror Micro‐mirror array<br />

Integration<br />

Hybrid Integration &<br />

Packaging<br />

Monolithic Multidomain<br />

Integration<br />

Pakcaging <strong>and</strong> assembly Solderless flip chip Vaccum Packaging<br />

Postprocessing/Functionalization<br />

Bio‐compatible coating Die thinning TSV on die<br />

Surface functionalization deposition<br />

Integrated ME/MEMS MEMS on GaN Surface SiGe MEMS on 0.18um CMOS<br />

Bulk MEMS on 0.18um CMOS<br />

MEMS on multi‐layer substrate<br />

MEMS on LTCC<br />

Transducer/sensor layer deposition<br />

Integrated MEMS/Photonics<br />

MEMS with embedded waveguide<br />

New <strong>and</strong> Emerging NEMS NEMS<br />

<strong>2012</strong> 2013 2014<br />

2015 <strong>2016</strong><br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 27


Microfluidics <strong>Technology</strong><br />

Focus<br />

• Focus on integratibility of microfluidics with microelectronics, photonics, <strong>and</strong><br />

MEMS in a microsystem<br />

• Use three main methods to integrate uF with microsystem technologies<br />

– Post-processing <strong>and</strong> monolithic integration (deposition, etching, surface<br />

modification of a die or wafer, embedded waveguides, uF on CMOS, etc.)<br />

– Conventional packaging & assembly (flip-chip, wire bonding, laser bonding,<br />

chip stacking etc.)<br />

– Emerging techniques (injection printing/3D printing, injection molding, etc.)<br />

• Develop st<strong>and</strong>ardized interface modules to integrate uF with other domain<br />

technologies<br />

• Focus on glass, silicon <strong>and</strong> polymer based microfluidics technologies for<br />

developing custom components<br />

• In addition to commercial sourcing, increased involvement with FACT (MNT<br />

labs) for delivering technologies for building customized microfluidic devices<br />

such as PDMS <strong>and</strong> other polymer based technologies including hot embossing,<br />

injection molding, laser micromachining, <strong>and</strong> injection printing<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 28


<strong>2012</strong>-<strong>2016</strong><br />

Microfluidics View of the Roadmap<br />

Technologies <strong>2012</strong> 2013 2014 2015 <strong>2016</strong><br />

Component<br />

Substrate Material Glass Laser based fabrication technology Multilayer device with inter layer metal connection<br />

Polymer Rigid polymer fabrication Soft Litho (PDMS) Multilayer device Multilayer device with inter layer metal connections<br />

Integration<br />

Hybrid Integration & Packaging<br />

Packaging <strong>and</strong> interfacing Flip‐chip TSV TGV<br />

Fiber Coupling<br />

St<strong>and</strong>ard fluidic <strong>and</strong> optical interfacing<br />

Postprocessing/Functionalization<br />

Bio‐compatible coating Surface functionalization<br />

Monolithic Multi‐domain Integratio Integrated ME/Microfluidics Digital Microfluidics ISFET/Microfluidics Integrated CMOS image sensor/Microfluidics<br />

Integrated Photonics/Microfluidics Si3N4 waveguide integration Si waveguide integration Fluidic waveguide Nanoplasmonics based biosensing<br />

New <strong>and</strong> Emerging Printable Microfluidics Paper based microfluidics<br />

Nanofluidics<br />

Nanofluidics<br />

<strong>2012</strong> 2013 2014<br />

2015<br />

<strong>2016</strong><br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 29


Packaging & Assembly<br />

Priorities<br />

• Meet the evolving performance/functional requirements<br />

(form factor, power, speed, frequency, etc.) of various<br />

component technologies<br />

• Provide custom packaging solutions <strong>and</strong> applicationspecific<br />

functionalities (embedded or integrated<br />

passive/active components, optical interface,<br />

biocompatible encapsulation, thermal management, etc.)<br />

• Enable or enhance the integrability of microsystems<br />

(SiP, interposer, WLP, etc.)<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 30


Packaging <strong>and</strong> Assembly<br />

View of the Roadmap<br />

Technologies<br />

<strong>2012</strong> 2013 2014 2015 <strong>2016</strong><br />

Flip‐chip Flip chip (60um pitch) Solderless flip chip Flip‐chip (30um pitch)<br />

Component P&A<br />

Hybrid Packaging<br />

Emerging<br />

Wirebond<br />

Substrate/carrier<br />

Heavy‐duty wirebond<br />

Low‐loss high‐frequency (>70GHz) substraHeat dissipation substrate<br />

Fine line substrate (trace/space 10um)<br />

Substrate embedded functionalities (passives, waveguides) Thin core, high‐layer‐count substrate (20)<br />

Fibre‐to‐chip coupling Fibre array to chip coupling with electrical I/Os<br />

Custom application‐specific packaging<br />

GHz RF Package<br />

High temperature packaging (120‐350C)<br />

High‐power device packaging<br />

Packaging for flexible systems<br />

Multi‐die planar 2.5D SIP<br />

3D SiP<br />

SiP CMOS driver IC + photonics Source/detector + photonics<br />

Interposer Coarse pitched interposer (200 um) Interposer with COTS/KGD Fine pitched interposer (20um)<br />

Post processing/Functionalization<br />

Bio‐compatible coating<br />

TSV on die<br />

Die thinning<br />

Transducer/sensor layer deposition<br />

surface functionalization deposition<br />

Wafer‐level packaging Wafer‐level chip‐scale packaging Wafer‐level multi‐component packaging<br />

Printable packaging <strong>and</strong> assembly<br />

<strong>2012</strong> 2013 2014<br />

2015 <strong>2016</strong><br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 31


Test, Measurement, Designfor-Test<br />

(DFT) Priorities<br />

Focus on provision of tools <strong>and</strong> methodologies aligned with<br />

testing <strong>and</strong> measurement required to support R&D involving<br />

microsystems <strong>and</strong> constituent technologies<br />

– Verification, validation <strong>and</strong> characterization<br />

– Hardware, software, embedded systems, integrated systems<br />

Themes are drawn from “parent” roadmaps,<br />

encompassing:<br />

– Test capabilities driven by More Moore (speed, frequency, complexity, power)<br />

– Harsh environment (temperature, power, radiation, etc.) testing for components <strong>and</strong> systems<br />

– 3D linked TSV <strong>and</strong> other interconnect technologies for heterogeneous or hybrid integration;<br />

DFT methodologies<br />

– Interconnect architectures (emerging st<strong>and</strong>ards) <strong>and</strong> smart fixtures to enable testability<br />

(wafer level, advanced probing, manufacturing oriented, reconfigurable)<br />

How:<br />

– CMC test equipment lending pool<br />

– Enabling access to test facilities. E.g. NMPTC, other university labs<br />

– System-level development platforms<br />

– Provision of CAD tools supporting DFT<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 32


Test, Measurement <strong>and</strong> DFT<br />

View of the Roadmap<br />

Component<br />

technologies<br />

Extended test capabilities driven by more moore<br />

design/process/test integration<br />

<strong>2012</strong> 2013 2014 2015 <strong>2016</strong><br />

Wide spectrum quantum efficiency<br />

coherent detection Potentiometer<br />

rate table<br />

High power test beds<br />

MS CAD tool integration<br />

increasingly heterogeneous<br />

3D MS CAD/verification tools<br />

processing integrated with in‐line test data generation<br />

Environmental testing, components <strong>and</strong> systems thermal test 85C environmental test chamber for packaged devices<br />

hot spot test<br />

Solar simulator<br />

uE environmental test to 400C<br />

Test requirements with<br />

system/application focus<br />

3D KG‐TSV? ubiquitous TSV?<br />

P1838<br />

effective multi‐tier functional partitioning tools<br />

embedded test access<br />

3D‐aware CAD environment increasingly heterogeneous<br />

DFT technologies<br />

embedded test st<strong>and</strong>ards 1500, p1687<br />

Embedded test for MS systems e.g. for SerDes <strong>and</strong> PLL BIST<br />

measurement capability for parameters that are too expensive/impractical to measure off‐chip<br />

DFT for MEMS<br />

automated access to embedded diagnositic test<br />

portable DFT files for hybrid integration <strong>and</strong> system DFT<br />

Interconnect <strong>and</strong> smart fixtures bare die test wafer level test, including MEMS <strong>and</strong> photonic<br />

edge coupling connector solutions wafer probe for TSV commercially available KGD w test wrappers<br />

multi‐channel couplers wafer level test highly multiplexed optical I/O @ chip convergence on connector st<strong>and</strong>ards<br />

Integrated<br />

systems<br />

Multi‐technology prototype test Benchtop prototyping environments Test‐infus system emulators incxreasingly multi‐technology<br />

Virtual instrumentation<br />

Robotic‐based test beds.. E.g. Helicopters for control algotithm test, automotive guidance systems<br />

wireless add‐on JTAG‐like connectivity tests Protocol‐aware FPGA‐based test; VI libraries<br />

designing <strong>and</strong> integrating on‐board antenna structures test of chip antenna (radiation <strong>and</strong> receiver)<br />

Embedded software test laboratory (emSYSCAN)<br />

Emerg<br />

ing<br />

New test driven by emerging technologies<br />

THz source extension freq conversion High power pulsed laser detection solutions (broadb<strong>and</strong> coverage with high sensitivity while maintaining sufficient source power)<br />

Nanotech app notes, reference designs e.g. CNT test bio‐organic test fixtures <strong>and</strong> sample prep libraries of fixtures, interfaces<br />

<strong>2012</strong><br />

2013 2014 2015 <strong>2016</strong><br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 33


Nanotechnology Focus<br />

• Exploration <strong>and</strong> development of nano-scale devices <strong>and</strong><br />

material structures<br />

• Access to nano-scale technologies to develop novel devices<br />

or to enhance various functions of microsystems<br />

(acceleration, pressure, flow, sensitivity, etc.)<br />

• Access to nano-capabilities through micro/nano fabrication<br />

labs (“FACT lab”)<br />

– Deposition, e-beam patterning, characterization at nano-level<br />

• CAD tools for exploration <strong>and</strong> development of materials <strong>and</strong><br />

nano-scale structures <strong>and</strong> devices<br />

© <strong>2012</strong>, CMC <strong>Microsystem</strong>s <strong>Strategy</strong> <strong>and</strong> Roadmap, Work in Progress 34

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!