11.07.2015 Views

Calibre nmDRC Datasheet - Europractice

Calibre nmDRC Datasheet - Europractice

Calibre nmDRC Datasheet - Europractice

SHOW MORE
SHOW LESS
  • No tags were found...

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Your Designs Deserve <strong>Calibre</strong> Confidence<strong>Calibre</strong> <strong>nmDRC</strong>Physical VerificationD A T A S H E E TFEATURES:<strong>Calibre</strong> <strong>nmDRC</strong> provides fast, sophisticated, and proven technology that enables the fastestand most accurate physical verification of the most challenging designs at any node.<strong>Calibre</strong> <strong>nmDRC</strong> - Right From the StartTo ensure acceptable design performance in new process technologies,foundries iteratively explore design constraints and manufacturability to definethe design rules ultimately used by their customers. The physical verificationtool used by every major foundry to develop these design rules is <strong>Calibre</strong>®<strong>nmDRC</strong>. What that means to you is that <strong>Calibre</strong> rule decks are proven longbefore you need them. When performance and time to market controlpotential profitability, using <strong>Calibre</strong> <strong>nmDRC</strong> for your physical verification canmean the difference between market success and failure.<strong>Calibre</strong> <strong>nmDRC</strong> is continually evolving to meet the demands of shrinkinggeometries and complex manufacturing methodologies. When designersneed new ways to assess the quality of their designs in light of more complexprocess constraints and larger process variations, <strong>Calibre</strong> <strong>nmDRC</strong> delivers thenew capabilities and enhanced performance that provide comprehensiveanalysis capabilities while minimizing cycle time.With <strong>Calibre</strong> <strong>nmDRC</strong>, designers can confidently manage physical verification forevery design, at every node.<strong>Calibre</strong> <strong>nmDRC</strong> Runtime and Resource UsageWith increasing size and complexity of designs, and the explosion of new rulechecks required for the next technology node, the need for faster turnaroundtimeis critical.<strong>Calibre</strong> <strong>nmDRC</strong> delivers the fastest runtimes in the industry, whether you’reusing one CPU or dozens, providing you with the flexibility you need tooptimize your resource allocation and usage while achieving your desiredturnaround time.■■Used by every major foundry forprocess development andvalidation■■Fast, scalable, flexible resourceusage■■Direct access to Milkyway, LEF/DEF, OpenAccess, OASIS, andGDSII design databases■■<strong>Calibre</strong> eqDRC provides precisecharacterizations and simplifiesdebugging of complex multivariatedesign issues■■<strong>Calibre</strong> Fast XOR enables fast,accurate LVL comparisons■■Comprehensive fill support,including fill back annotation toMilkyway, LEF/DEF, OpenAccess,OASIS, and GDSII designdatabases.■■Pattern matching support■■Double patterning layout analysissupportBENEFITS:■■Comprehensive, accurate, andproven signoff physicalverification for advancedtechnology nodes and designs atall major foundries■■Industry-leading speed minimizesruntime and resource usage■■Enhanced DRC debuggingprovides the fastest results withthe highest accuracy■■Extensive innovative andsophisticated capabilities supportthe most complex designrequirements at every node■■Earliest rule deck availability fornew process technologiessupports fast production ofleading edge designsw w w . m e n t o r . c o m


3provides tapeout fill shapes created by <strong>Calibre</strong> duringplace and route to ensure accurate extraction andsimulation results with optimum runtime and output filesize.<strong>Calibre</strong> <strong>nmDRC</strong> supports the use of <strong>Calibre</strong> SmartFill techniques, suchas the creation of active circuit fill cells.Double Patterning<strong>Calibre</strong> <strong>nmDRC</strong> provides the technology needed to notonly assess layouts for double patterning decompositionfeasibility, but also to identify the adjustments needed tocorrect non-compliant design configurations.design database, and minimizes the disk space needed tohold the GDSII data for every iteration.HTML Batch ReportsWith <strong>Calibre</strong> <strong>nmDRC</strong>’s customizable HTML batchreporting, designers can streamline DRC debugging andimprove communications without rule deck modifications.■■100% batch operation, with no display required■■Pre-screen DRC report using sorts and filtering to focuson selected issues■■Group results by user-defined properties, cells, andchecks■■Use webpage forms to create approval processes forerrors and waivers■■Use snapshots, customized text, layer palette, and layerproperties to provide rule explanations■■Provide colormaps and histograms for further dataanalysis when needed■■Reproduce easily in <strong>Calibre</strong> RVE and layout toolsAutomated Waiver Management<strong>Calibre</strong> Automatic Waivers can be used with <strong>Calibre</strong><strong>nmDRC</strong> to provide automated recognition and removal ofwaived design rule violations during DRC. Not only doesautomated waiver management eliminate unnecessarytime and effort in the verification flow, but it also ensuresaccurate processing of all waivers on every DRC run.Fast XOR<strong>Calibre</strong> Fast XOR speeds up layout-vs-layout (LVL)comparisons to provide the same results as traditional XORmethodologies in significantly less time, from chip-leveldesign iterations through final chip verification to tapeout.3D-IC Physical VerificationWhile other tools are struggling to implement 3D-ICverification, <strong>Calibre</strong> <strong>nmDRC</strong> provides proven physicalverification now for today’s emerging 3D-IC designs andtomorrow’s new technologies.<strong>Calibre</strong> DebuggingDirect Database Access<strong>Calibre</strong> <strong>nmDRC</strong> is unique in that it reads all open dataformats, including Milkyway, LEF/DEF, OpenAccess, OASIS,and GDSII. This direct database access eliminates the needfor conversion to GDS or other intermediate formats,provides immediate access to design data, and streamlinesintegrated <strong>Calibre</strong> verification methodologies.The database interface automates layer mapping, backannotatesPV results and DFM optimizations into the<strong>Calibre</strong> HTML batch reporting enables diverse teams to quickly obtainthe information they need using a variety of tools.Design Integration<strong>Calibre</strong>’s integration strategy allows <strong>Calibre</strong> <strong>nmDRC</strong> to beeasily integrated into any design layout environment,either custom or place and route, using <strong>Calibre</strong> Interactiveand <strong>Calibre</strong> RVE to provide invocation, results highlighting,design analysis, and back annotation of <strong>Calibre</strong> physicalverification results and DFM optimizations.A direct integration of <strong>Calibre</strong> physical verification withinthe design layout environment is also available for bothplace and route and custom design tools. Within the<strong>Calibre</strong> platform, <strong>Calibre</strong> InRoute offers direct access to<strong>Calibre</strong> <strong>nmDRC</strong> from Olympus-SoC, enabling automatedfixes for identified violations. In custom design, <strong>Calibre</strong>RealTime supports direct use of <strong>Calibre</strong> <strong>nmDRC</strong> duringdesign layout, using an OpenAccess integration strategy.w w w . m e n t o r . c o m


<strong>Calibre</strong> Commitment to Innovation<strong>Calibre</strong> leads the way for one powerful reason—ourconstant and ongoing commitment to innovation. Weknow that when you’re ready to move to the next node,your tools need to be ready as well. You need theconfidence that comes from knowing we’ve been workingfar in advance to identify the challenges and developeffective, proven solutions. Our reputation depends on it,and we depend on our reputation. At every node, <strong>Calibre</strong>has provided, and will continue to provide, pioneeringtechnologies and tools that ensure you can continue todeliver your products on time with the quality you need.The <strong>Calibre</strong> nm PlatformThe <strong>Calibre</strong> nm platform, the industry’s leading physicalverification platform, is known for delivering best-in-classperformance, accuracy, and reliability. A powerfulhierarchical engine is at the heart of the <strong>Calibre</strong> tool suite,providing solutions for physical verification, parasiticextraction, resolution enhancement, mask data prep, lithofriendlydesign, and design for manufacturing.Complete <strong>Calibre</strong> rule files and extensive coverage of<strong>Calibre</strong> processes for DRC and DFM are available at allsemiconductor foundries.Superior Product SupportMentor Graphics is a five-time winner of the SoftwareTechnical Assistance Recognition(STAR) Award in EDA.No other provider of complexsoftware can match the supportoffered by Mentor Graphics.<strong>Calibre</strong>’s innovative and comprehensive verification technologies lead the industry, making it the right choice foryour physical verification requirements now and in the future.For the latest product information, call us or visit:w w w . m e n t o r . c o m©2011 Mentor Graphics Corporation, all rights reserved. This document contains information that is proprietary to Mentor Graphics Corporation and maybe duplicated in whole or in part by the original recipient for internal business purposes only, provided that this entire notice appears in all copies.In accepting this document, the recipient agrees to make every reasonable effort to prevent unauthorized use of this information. All trademarksmentioned in this document are the trademarks of their respective owners.MGC 06-11 1025030

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!