07.12.2012 Views

Annual report 2009 - Imec

Annual report 2009 - Imec

Annual report 2009 - Imec

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

ASPIRE<br />

INVENT<br />

ACHIEVE<br />

ANNUAL REPORT <strong>2009</strong>


09<br />

ANNUAL REPORT


<strong>2009</strong> was<br />

a year of<br />

celebration.<br />

A year in<br />

which imec<br />

lighted 25<br />

birthday<br />

candles.


On January 16, 1984, imec’s memorandum of association was signed. Now, 25 years later, imec<br />

has developed into a world-renowned research institute. This is due largely to the perseverance<br />

of its founders, the leadership of Professor Baron Roger Van Overstraeten, who would look back<br />

with pride on the achievement of his life’s dream, the continuity of the cooperation with the<br />

government of Flanders, governmental institutes and Flemish universities, and most of all … the<br />

enthusiasm of its employees. The perfect combination of people who have built up years of<br />

knowledge and experience at imec and the continuous inflow of young talent from all over the<br />

world, was and still is a prerequisite for world-class research. Also the continued support of our<br />

industrial and university partners was indispensable for our evolution and growth. Together, we<br />

succeeded in putting Flanders on the world map of micro- and nanoelectronics.<br />

But we haven’t limited ourselves to growing in Flanders. In 2005, we founded Holst Centre in<br />

Eindhoven (Netherlands), together with the Dutch research institute TNO and with support from<br />

the Dutch and Flemish governments. Holst Centre is an open-innovation initiative that helps us<br />

tackle new research challenges. Since 2005, Holst Centre has grown into a success story, with 20<br />

research partners and a research staff of 185 people from 25 countries. And recently, in 2008, we<br />

also established imec Taiwan, with the aim of boosting our collaboration with the local companies<br />

and research institutes. At imec, we look forward with confidence to a future where open<br />

innovation and global collaboration will be the key for further innovation.<br />

3


Table of contents<br />

06<br />

LUC VAN DEN HOVE<br />

<strong>Imec</strong>: many experts,<br />

one vision<br />

08<br />

GILbERT DECLERCk<br />

<strong>2009</strong>, a year of transitions<br />

10<br />

VISION AND MISSION<br />

11<br />

ACTIVITIES<br />

12<br />

RESEARCH STRATEGy<br />

14<br />

IMEC IN fIGURES<br />

4<br />

IMEC CORE CMOS<br />

22<br />

kURT RONSE<br />

Pushing lithography to its limits<br />

24<br />

HIGHLIGHTS<br />

<strong>Imec</strong> Core CMOS<br />

IMEC CMORE<br />

28<br />

INGRID DE WOLf<br />

Reliability: forecasting the future<br />

30<br />

HIGHLIGHTS<br />

<strong>Imec</strong> CMORE<br />

HUMAN++<br />

34<br />

kRIS VERSTREkEN<br />

Bio-nano: powerful healthcare<br />

diagnostics and therapies<br />

36<br />

CHRIS VAN HOOf<br />

Medicine goes digital<br />

38<br />

HIGHLIGHTS<br />

Human++<br />

IMEC ENERGy<br />

44<br />

MARIANNE GERMAIN<br />

Extreme materials<br />

for power electronics<br />

and lighting<br />

46<br />

JEf POORTMANS<br />

Solar energy for sustainable<br />

energy generation<br />

48<br />

HIGHLIGHTS<br />

<strong>Imec</strong> Energy


IMEC SMART SySTEMS<br />

54<br />

LIESbET VAN DER PERRE<br />

Wireless communication<br />

gets a green touch<br />

56<br />

HIGHLIGHTS<br />

<strong>Imec</strong> Smart Systems<br />

COLLAbORATION<br />

60<br />

DIRk VANDERZANDE<br />

Breakthroughs with fundamental<br />

and applied research<br />

62<br />

HIGHLIGHTS<br />

<strong>Imec</strong>’s associated lab at Hasselt University<br />

64<br />

PAUL LAGASSE<br />

Cross-disciplinary collaboration<br />

for a better world<br />

66<br />

HIGHLIGHTS<br />

<strong>Imec</strong>’s associated lab at the<br />

University of Ghent<br />

68<br />

HERMAN MAES<br />

Training and services<br />

for the IC design community<br />

70<br />

HIGHLIGHTS<br />

<strong>Imec</strong> Training and Services<br />

72<br />

JO DECUyPER<br />

Bring science to life, your life!<br />

74<br />

HIGHLIGHTS<br />

RVO-Society and imec outreach<br />

76<br />

LUDO DEfERM<br />

New research domains call<br />

for new business strategies<br />

78<br />

HIGHLIGHTS<br />

Business Development<br />

fACTS<br />

AND fIGURES<br />

80<br />

WALTER fLUIT<br />

Building for<br />

imec’s future<br />

82<br />

HIGHLIGHTS<br />

Infrastructure<br />

84<br />

<strong>2009</strong> ANNUAL<br />

ACCOUNTS<br />

86<br />

ORGANIZATION<br />

87<br />

ADDRESSES<br />

5


Luc<br />

Van den<br />

hoVe,<br />

President and<br />

Chief Executive<br />

Officer


ImEC:<br />

mANy ExPERTS,<br />

ONE VISION<br />

July <strong>2009</strong>, I was appointed as the new CEO of imec. I<br />

am honored and humbled by the confidence placed<br />

in me. And I’m lucky and grateful to work with such<br />

a passionate and smart staff. But foremost, I wish to<br />

thank all companies and institutions that partner with<br />

us for their continued support and valuable input.<br />

Innovative organizations develop and change continuously,<br />

and imec is no exception. So in <strong>2009</strong>, we<br />

consolidated our strengths and prepared to tackle tomorrow’s<br />

challenges. Technology challenges, certainly;<br />

but also economic and organizational challenges.<br />

Despite the ongoing industry slowdown, imec now<br />

has a staff of more than 1,750 people, and a budget of<br />

275 million euro.<br />

This annual <strong>report</strong>, imec’s 25 th , presents some of<br />

our key people and teams, with the path they are<br />

following and with the research highlights for <strong>2009</strong>.<br />

The fact and figures that we <strong>report</strong> are those of imec<br />

international, consolidating imec Belgium (IMEC vzw<br />

supported by the Flemish Government), imec the<br />

Netherlands (Stichting IMEC Nederland, part of Holst<br />

Centre, supported by the Dutch Government) and<br />

imec Taiwan (IMEC Taiwan Co.).<br />

<strong>2009</strong> was a year of challenges, but also a year of<br />

spectacular results. We got excellent feedback during<br />

our many partner visits, during the core partner review,<br />

and recently during the first review of our photovoltaics<br />

program. <strong>2009</strong> was clearly the year during which<br />

our photovoltaics program took off. Also in our Green<br />

Radio and Human++ programs we could show great<br />

results. And in our fab, our people managed to attain<br />

world-class cycle times and quality!<br />

I’d like to point to two specific accomplishments of<br />

<strong>2009</strong>. They illustrate both the strengths of imec, and<br />

the future research that we will pursue.<br />

One highlight, part of our core CMOS research, is the<br />

progress we made on EUV lithography. <strong>2009</strong> was the<br />

year in which the industry’s belief in the feasibility of<br />

EUV grew strongly – a belief underpinned by our results.<br />

Using EUV lithography, we fabricated the world’s<br />

first functional 22nm SRAM cell. An extremely small<br />

memory cell, but representing a huge technical and<br />

logistical challenge. That we could make it work, and<br />

that we were the first, is a testimony to the scientific<br />

expertise and operational excellence of our people,<br />

but also to our world-class equipment. Of course, this<br />

result is a stepping stone for more; expect to see new<br />

results in 2010.<br />

A second accomplishment was the start of NERF –<br />

Neuroelectronics Research Flanders. The mission of<br />

INTERVIEW WITh Luc VaN dEN hoVE<br />

IMEC<br />

NERF is to do world-class brain research, bringing<br />

together top researchers from various domains. It is<br />

an initiative that will be housed at imec, and that we<br />

have initiated with 2 important research partners, the<br />

Catholic University of Leuven and the VIB (Flanders<br />

Biotech Institute).<br />

That we take on this type of research, again on a<br />

world-class level, points to the fact that we have also<br />

become a research powerhouse in heterogeneous<br />

technology. For some years now, we have consistently<br />

built expertise and shown excellent results in photovoltaics,<br />

bioelectronics, photonics, wireless technology,<br />

low-power technology, and power electronics.<br />

<strong>Imec</strong>’s cross-disciplinarity, together with its highly<br />

qualified personnel, world-class infrastructure, global<br />

partnerships and renowned track record in CMOS<br />

scaling makes it an interesting partner for companies<br />

to do their R&D in all these new domains.<br />

For me, it is truly inspiring to be part of an organization<br />

that continuously looks to innovate. This is my promise:<br />

2010, we’ll continue to implement our vision. With<br />

our global research partners, we will lead the development<br />

of nano-enabled solutions that allow people to<br />

have a better life in a sustainable society.<br />

7


GiLbert<br />

decLerck,<br />

Executive Officer – member<br />

of the Board of Directors


<strong>2009</strong> wAS A yEAR<br />

OF TRANSITIONS,<br />

TRANSITIONS FOR THE SEmICONDUCTOR INDUSTRy,<br />

FOR ImEC, AND ALSO FOR mE PERSONALLy.<br />

IIn the industry, of course, we saw the crisis and its<br />

aftermath. A crisis that has changed the landscape<br />

– speeding up some of the evolutions and delaying<br />

others. One notable transition is the move towards<br />

fab-lite, asset-lite companies, balanced by a growing<br />

consolidation of the IC fabrication. All in all, these<br />

changes will have a positive effect on imec, because<br />

in the future, cross-company precompetitive research<br />

will become even more important.<br />

<strong>Imec</strong> mirrors the developments in the electronics industry.<br />

Scaling is still the driver of many of the industry’s<br />

preoccupations. But scaling has also made electronics<br />

suitable for a whole range of applications that were<br />

out of reach only a few years ago. Today’s electronics,<br />

for example, enable interfaces to the human body. To<br />

illustrate this, at imec, we have made an IC that interacts<br />

with brain and nerve cells. And those new possibilities<br />

drive the demand for combining technology<br />

in heterogeneous microsystems – a domain in which<br />

imec has extensive expertise.<br />

<strong>2009</strong>, imec also appointed a new CEO. I congratulate<br />

Luc Van den hove with this position and wish him lots<br />

of success; he’s the right captain to lead our valued research<br />

institute through the coming phases of growth<br />

and change. For I am convinced imec will further grow:<br />

the new cleanroom extension will be ready mid 2010,<br />

and we also plan to start building new offices to accommodate<br />

more researchers that will come aboard.<br />

We see a growing collaboration in the industry; and<br />

we have been successful in channeling a lot of that<br />

collaboration through imec.<br />

International collaboration, of course is the cornerstone<br />

of imec’s business model. In <strong>2009</strong>, we closed<br />

new agreements with global companies, bringing more<br />

research to imec. Research into CMOS scaling, but also<br />

on wireless communication technology, medical electronics,<br />

and photovoltaics.<br />

Then there is the European collaboration. <strong>Imec</strong> is a<br />

centre of excellence in Europe; it aspires to be part of<br />

the research consortia that shape the future of electronics.<br />

Therefore, we are involved in a host of projects<br />

in the EU’s Framework Program 7, and we are helping to<br />

prepare Framework Program 8.<br />

INTERVIEW WITh GILbERT dEcLERck<br />

IMEC<br />

Important in this respect will be the future role of<br />

smaller countries in the EU research programs. Today,<br />

we see that the topic of cross-border funding is put<br />

on more and more meeting agendas, so we are optimistic<br />

for imec’s European future. European cross-border<br />

funding is important as it provides financial resources<br />

for collaboration between centers of excellence and<br />

companies from various European countries or regions.<br />

Last, but always important for us, is the collaboration<br />

with the companies in our home region – Flanders. <strong>Imec</strong><br />

is at the heart of the electronics industry in Flanders.<br />

Companies rely on us for a whole range of services,<br />

such as access to expertise, quality design, prototype<br />

production, and even large-volume IC production<br />

through the agreements with our global partners.<br />

I am convinced that collaboration in research is es sential<br />

to come to valuable and stimulating breakthroughs.<br />

I’m also convinced that this will remain the basis of<br />

imec’s success.<br />

9


VIsIoN aNd MIssIoN<br />

IMEC<br />

VISION AND mISSION<br />

VISION<br />

<strong>Imec</strong> aims to shape the future. With our global<br />

research partners, we will lead the development<br />

of nano-enabled solutions that allow people<br />

to have a better life in a sustainable society.<br />

MISSION<br />

<strong>Imec</strong> performs world-leading research in nanoelectronics.<br />

We leverage our scientific knowledge<br />

with the innovative power of our global<br />

partnerships in ICT, healthcare and energy. We<br />

deliver industry-relevant technology solutions.<br />

In a unique high-tech environment, our international<br />

top-talent is committed to providing the<br />

building blocks for a better life in a sustainable<br />

society.<br />

10<br />

this mission is backed<br />

by 4 trends in the eLectronics industry:<br />

1. Scaling electronic devices and circuits will continue for another decade. It will be driven by Moore’s law,<br />

following the technologies described in the international technology roadmap for semiconductors (ITRS).<br />

<strong>Imec</strong> has the infrastructure and expertise to keep its top position as a research center in scaling, both for<br />

logic and memory technology. And imec is preparing to start R&D on 450mm wafers, should the semiconductor<br />

market set these as a new standard.<br />

2. CMOS processes can be used to develop new micro- and nanodevices with extended functionality such<br />

as sensors, MEMS, or NEMS. These applications promise to be the driver of a new industry with strong<br />

business growth and exciting R&D opportunities. The technologies include heterogeneous integration,<br />

photonics, advanced packaging, such as 3D integration, flexible integration, ... They can be applied in biomedical<br />

electronics and autonomous embedded appliances.<br />

3. In the future, there will be a growing need to combine higher performance with complex and extended<br />

functionalities. This convergence will impact IC design, processing, integration, and packaging.<br />

Further scaling will also create new opportunities for heterogeneous integration – think of the nano-bio<br />

convergence. <strong>Imec</strong> is well positioned to follow this trend because of its broad expertise in many domains.<br />

Combining these will result in a unique innovative leverage.<br />

4. Last, there is photovoltaics. We leverage our expertise in materials and processes to create more efficient<br />

and cost-effective solar cells. <strong>Imec</strong> has been doing photovoltaics research for 25 years; we have made the<br />

generation of sustainable energy one of the cornerstones of our strategy.


ACTIVITIES<br />

research<br />

and deVeLop<br />

<strong>Imec</strong> combines fundamental and applied research in a wide range of domains. In this annual <strong>report</strong>, we<br />

present some of imec’s key experts for the various research domains. Each portrait comes with a short vision<br />

followed by the highlights of <strong>2009</strong> for the domain.<br />

A complete and detailed list of topics and results can be found in imec’s scientific <strong>report</strong>, included with this<br />

<strong>report</strong>.<br />

educate<br />

and inform<br />

<strong>Imec</strong> forms a bridge between universities and industry. The imec training center offers continuous education<br />

in nanoelectronics. It organizes introductory and advanced technical courses for imec staff, industry, universities,<br />

and polytechnic high schools. <strong>Imec</strong> also supports PhD students. Highlights from the education program<br />

can be found on page 70.<br />

coLLaborate<br />

and partner<br />

<strong>Imec</strong> shares its expertise as a leading nanoelectronics institute with industrial partners, universities, and<br />

research centers. For highlights of the collaboration programs, see page 79.<br />

acTIVITIEs<br />

IMEC<br />

Quality and iSO 9001 certificatiOn<br />

Quality at imec is important. Not just the quality of<br />

the research results we deliver to our customers and<br />

partners, but also the way we reach these results.<br />

Apart from the standard monitoring of the operational<br />

processes, our quality assurance process also<br />

looks at key performance indicators, customer satisfaction,<br />

and continuous improvement.<br />

Since 1997, imec Belgium has been certified to comply<br />

with the ISO 9001 specifications. During a recent<br />

successful re-certification audit in may <strong>2009</strong>, this certification<br />

has been reconfirmed.<br />

The ISO 9001 certificate covers imec’s activities in<br />

research, development, training, consulting, design,<br />

integration and characterization of processes, systems<br />

and software for nanoelectronics and nanotechnology.<br />

The audits have been done by the<br />

Belgian certification organization KIwA Belgium NV<br />

(http://www.kiwa.be).<br />

11


REsEaRch sTRaTEGy<br />

IMEC<br />

RESEARCH STRATEgy<br />

ASPIRE INVENT<br />

ACHIEVE<br />

<strong>Imec</strong>’s research strategy is based on finding<br />

solutions – with nanoelectronics – for the<br />

challenges faced by society in the coming decennium.<br />

These challenges include generating<br />

sustainable energy, better and more efficient<br />

healthcare, and ubiquitous communication.<br />

<strong>Imec</strong> focuses on those domains where it sees<br />

a growth potential, and where it can differentiate<br />

itself from other research institutes. A<br />

cornerstone of imec’s strategy is creating innovative<br />

leverage between its research domains,<br />

optimally using its extensive infrastructure and<br />

expertise.<br />

12<br />

imec’s research is Grouped into fiVe business Lines:<br />

In the business line imec core cmos, we work on all aspects of further increasing the density and<br />

power of logic and memory ICs. Currently, the focus is on (sub-)22nm technology nodes. The work includes<br />

exploring new device architectures, new materials, and new process steps for logic, DRAM, and non-volatile<br />

memory (such as Flash).<br />

With imec cmos-based heteroGeneous inteGration (cmore), imec focuses on integration,<br />

advanced packaging, silicon nanophotonics, and power electronics. This business line also offers a<br />

design, prototyping, and small-volume service for heterogeneous ICs.<br />

The business line human++ works on innovative solutions in the healthcare domain. Within Human++,<br />

imec and Holst Centre develop technologies for wearable and implantable body area networks, with<br />

low-power components, radios and sensors. A second topic is life sciences, where R&D focuses on finding<br />

better diagnostics and cures.<br />

With its business line imec enerGy, imec works on finding solutions for a more sustainable generation<br />

and use of energy. This includes R&D on photovoltaics and power electronics.<br />

Last, the imec smart systems business line is concerned with power-efficient green radios, large-area<br />

electronics, wireless autonomous transducer systems, systems-in-foil, and innovative visualization systems.<br />

For the business lines Human++ and imec Smart Systems, imec collaborates with Holst Centre on wireless<br />

autonomous systems, sensor networks, and systems-in-foil.


imec core cmos<br />

Lithography<br />

Logic DRAm devices<br />

Interconnects<br />

human++<br />

wearable and implantable body<br />

area networks (with Holst Centre)<br />

imec enerGy<br />

Photovoltaics<br />

imec smart systems<br />

Power-efficient green radios<br />

Vision systems<br />

3D integration<br />

Flash memories<br />

imec cmos-based heteroGeneous inteGration (cmore)<br />

Sige mEmS<br />

Silicon photonics<br />

Vision systems<br />

Power devices and<br />

mixed-signal technologies<br />

Life sciences<br />

gaN power electronics and LEDs<br />

Large-area electronics and<br />

systems-in-foil (with Holst Centre)<br />

Emerging devices<br />

INSITE - connecting technology<br />

and system design<br />

gaN power electronics and LEDs<br />

wireless autonomous transducer<br />

solutions (with Holst Centre)<br />

13


ImEC IN FIgURES<br />

14<br />

36.5<br />

is the<br />

average age of<br />

imec’s staff<br />

185<br />

people worked at Holst Centre end of <strong>2009</strong>.<br />

Holst Centre is an open-innovation initiative<br />

of imec and TNO, founded in 2005 with the<br />

support from the Dutch government.


1,751<br />

scientific articles and<br />

conference contributions<br />

were published in <strong>2009</strong><br />

IMEc IN fIGuREs<br />

IMEC<br />

1,783<br />

people worked at imec end of <strong>2009</strong>,<br />

including 1,012 researchers and<br />

285 residents from the academic<br />

and industrial world<br />

15


16<br />

194<br />

PhD students<br />

contribute to imec’s<br />

long-term research<br />

62<br />

nationalities<br />

are represented<br />

at imec


600<br />

companies worldwide<br />

partner with imec<br />

IMEc IN fIGuREs<br />

IMEC<br />

31<br />

is the number of<br />

imec spin-offs since<br />

imec’s start in 1984<br />

17


18<br />

25<br />

prizes were awarded to<br />

imec’s researchers for their<br />

research, poster, or article<br />

44.7<br />

million euro is the grant that imec<br />

received in <strong>2009</strong> from the Flemish<br />

government; it also received 8 million<br />

from the Dutch government


175<br />

universities<br />

worldwide collaborate<br />

with imec<br />

IMEc IN fIGuREs<br />

IMEC<br />

122<br />

patents were<br />

submitted in <strong>2009</strong>;<br />

97 patents were<br />

granted<br />

19


imec<br />

core cmos<br />

Boosting chip performance


kurt<br />

ronse,<br />

Director<br />

Lithography


PUSHINg<br />

LITHOgRAPHy<br />

TO ITS LImITS<br />

Tomorrow’s smart systems will require extreme<br />

computation and storage capabilities, orders of<br />

magnitude above what the processors and memories<br />

of today can deliver. Thus there is a need to<br />

keep on scaling. But because we are closing in on the<br />

physical limits of IC scaling, we have to push the technology<br />

to its extreme. That’s why imec is looking into<br />

the innovative use of new materials, transistor architectures<br />

and lithography techniques.<br />

<strong>Imec</strong>’s programs are geared towards solving the remaining<br />

challenges for volume manufacturing of the next<br />

tech nology node. Further out, we work on scaling<br />

CMOS technology to the (sub-)22 nanometer node.<br />

We focus on logic and memory, interconnect challenges,<br />

and using the 3 rd dimension.<br />

For lithography we pursue three paths. First, we explore<br />

the limits of 193nm lithography. Second, most of our<br />

efforts go into EUV lithography. And last, we also explore<br />

alternative lithography techniques.<br />

At imec, 193nm lithography is pushed to its limits,<br />

looking for techniques to produce the next technology<br />

node on time. That is necessary because alternatives –<br />

most notably EUV lithography – are still some time out.<br />

One technique that allows 193nm lithography to print<br />

finer details is double patterning. With double patterning,<br />

you expose the silicon twice, with two masks<br />

that each print half of the lines. Because this process is<br />

expensive, we have set up a program to compare and<br />

evaluate chemicals and techniques for resist freezing, a<br />

technique to reduce its costs. In the course of this program,<br />

we have seen important progress, making double<br />

patterning a viable process, especially for designs with<br />

a repeated, regular geometry, such as memory ICs.<br />

Another promising technique to push 193nm lithography<br />

is source mask optimization. Here, imec started<br />

work on diffractive optical elements and flexible<br />

illuminators. With source mask optimization, it is for<br />

example possible to tailor the illumination shape to the<br />

specific layout to be printed, improving to some extent<br />

the resolution and process margins.<br />

During <strong>2009</strong>, more and more of imec’s lithography efforts<br />

have shifted towards developing EUV lithography.<br />

EUV lithography uses extreme UV light (13.5nm wavelength),<br />

which allows a much finer print, but which also<br />

requires new tools and techniques. Currently, imec is<br />

illuminating wafers and refining the EUV technique with<br />

the help of ASML’s EUV alpha demo tool. Its successor,<br />

the EUV preproduction tool (NXE:3100), is scheduled<br />

for installation at imec at the end of 2010.<br />

The main issue to solve with EUV has always been the<br />

power of the light source. This power, long the major<br />

obstacle, has been gradually improved, and the industry<br />

now has a roadmap to arrive at a stable high-<br />

INTERVIEW WITh kuRT RoNsE<br />

IMEC CORE CMOS<br />

power source needed for cost-effective industrial IC<br />

production. Finding suitable EUV resists is the second<br />

issue. Also here, in the two years that we have been<br />

working on EUV, there has been a lot of progress. We<br />

now have resists to pattern 27nm features on the alpha<br />

demo tool, and I am confident that with effort and<br />

time, suitable resists for 22nm and later on for 16nm<br />

are within reach on future exposure tools. One of the<br />

strong points of the EUV technology is that it can be<br />

extended, even towards 11nm technology.<br />

By far the most pressing issue for EUV is formed by the<br />

masks. On the wafers that we have exposed with EUV,<br />

we see a lot of defects that originate from the masks.<br />

<strong>Imec</strong> has been one of the first to look into this issue,<br />

and we have collected and published the most relevant<br />

data. The IC industry is keenly interested in our research,<br />

because if the mask issue is not tackled, it could<br />

turn out to be a showstopper for EUV lithography.<br />

Finally, in <strong>2009</strong>, we also started a program on alternative<br />

lithography techniques: maskless e-beam lithography<br />

and imprint. We started out with surveying the IC industry,<br />

which showed that there is an interest in these<br />

techniques, mainly as a backup to EUV lithography.<br />

Now we are following the players in this area. As part<br />

of our effort, we have illuminated wafers at some of<br />

these companies, allowing us to compare the results of<br />

alternative litho techniques and optical lithography on<br />

our metrology tools.<br />

23


hIGhLIGhTs<br />

IMEC CORE CMOS<br />

HIgHLIgHTS - IC scaling today involves solving important challenges; the physical limits<br />

of what is possible are nearing. <strong>Imec</strong> works to overcome these challenges, looking into<br />

the use of new materials, new transistor types and new lithography techniques.<br />

01<br />

Source mask<br />

optimization for 193nm<br />

lithography<br />

<strong>2009</strong>, imec started a project to look into source<br />

mask optimization for the most advanced immersion<br />

scanner available today, the ASML XT:1900i with a<br />

numerical aperture of 1.35. A first such optimization<br />

was with diffractive optical elements (DOE) to shape<br />

the illumination source. Using DOEs, imec demonstrated<br />

promising process window improvements on the<br />

contact and metal1 layers of the 22nm SRAM layouts.<br />

<strong>Imec</strong> also obtained first results with a flexible illuminator,<br />

developed by ASML as an alternative to DOEs.<br />

Such a flexible illuminator can shape any illumination<br />

source in a computer-controlled way.<br />

24<br />

02<br />

First 22nm SRAM<br />

cell with<br />

EUV lithography<br />

<strong>2009</strong>, imec has fabricated the world’s first functioning<br />

22nm CMOS SRAM cell. The 0.099µm² SRAM cells<br />

have both the contact and metal1 layer printed using<br />

EUV lithography. Compared to imec’s 32nm cell, the<br />

area of the cells has been shrunk by 47%. For the frontend-of-line<br />

process, imec used its high-k/metal-gate<br />

FinFET platform. The front-end layers were printed<br />

using immersion lithography.<br />

03<br />

Mask inspection<br />

and cleaning for EUV<br />

lithography<br />

One of the obstacles for EUV lithography is that there<br />

are no inspection tools for EUV masks. Currently the<br />

most reliable way to inspect a mask is to illuminate a<br />

batch of wafers and use these to look for repeating<br />

defects, which will most probably originate from the<br />

mask. As a shortcut to building new tools, we are studying<br />

if existing inspection tools can be modified for<br />

this purpose.


04<br />

22nm interconnect<br />

technology – a significant<br />

step forward<br />

At the <strong>2009</strong> SEMICON WEST show, imec has presented<br />

innovations in dielectrics and metallization technology<br />

as well as in integration approaches. There was a major<br />

progress in the metallization of 22nm interconnects,<br />

in Cu/low-k reliability assessment and in the suppression<br />

of low-k integration damage. These results are a<br />

definite step forward in delivering the interconnect<br />

performance needed for the (sub-)22nm technology<br />

nodes.<br />

3D stacked ICs<br />

connected through TSVs<br />

05<br />

<strong>Imec</strong> sets major step<br />

towards 3D integration<br />

of DRAM on logic<br />

<strong>2009</strong>, imec and its 3D integration partners have tapedout<br />

Etna, a 3D chip demonstrator that integrates a<br />

commercial DRAM IC on top of a logic IC. The logic<br />

IC is thinned down to 25µm, and is connected to the<br />

DRAM IC using through-silicon vias (TSVs) and microbumps.<br />

The 3D demonstrator mimics all aspects of<br />

the 3D stacking approach. As an example, heaters are<br />

integrated to test the impact of hotspots on DRAM<br />

refresh times. And the chip contains test structures to<br />

monitor, for example, the thermo-mechanical stress in<br />

a 3D stack, electro-static discharge phenomena, or the<br />

electrical characteristics of TSVs and micro-bumps.<br />

25


imec<br />

cmore<br />

Building chips<br />

with sensational<br />

functions


inGrid<br />

de WoLf,<br />

group Leader<br />

Reliability and<br />

modeling


RELIABILITy:<br />

FORECASTINg<br />

THE FUTURE<br />

A<br />

crucial requirement of micro- and nanoelectronic<br />

systems is that they work perfectly, as they<br />

were designed. But also that they remain doing<br />

so during their lifetime, and under all circumstances,<br />

such as with high and low temperatures, high humidity,<br />

after voltage peaks, or during a rough mechanical treatment.<br />

They have to be, in sum, reliable.<br />

Until a few years ago, reliability research in microelectronics<br />

was relatively simple: most components could<br />

be tested as separate building blocks. And by testing<br />

the reliability of the separate building blocks, you could<br />

get a fairly good view on the reliability of the integrated<br />

system. First test the silicon, then the package. If both<br />

are ok, then there is a good chance that the packaged<br />

IC will be reliable. There were almost no failures caused<br />

by the influence of the package on the silicon chip. And<br />

the tests of the separate chips and packages were fairly<br />

straightforward, consisting of standardized test suites.<br />

This has changed. The relentless miniaturization and the<br />

use of new materials bring about new testing challenges.<br />

And new technologies are more and more based on the<br />

heterogeneous integration of components. These integrated<br />

components can no longer be viewed as independent<br />

building blocks that can be tested separately.<br />

Examples are 3D stacks of thinned Si-chips, SiGe-MEMS<br />

(micromechanical systems) integrated with CMOS, or<br />

biosensors. The package can, for example, influence the<br />

functionality of the MEMS, it can damage the fragile<br />

thinned silicon, or it can change the characteristics of<br />

the porous materials that are used in innovative processes.<br />

And if the connections between the chips in a 3D<br />

stack fail, then the complete 3D system fails.<br />

Now everything is connected to everything. This calls<br />

for an integrated vision on reliability. A 3D vision, in<br />

which the influence of the components on each other<br />

is taken into account. As a consequence, we have to<br />

stop looking at individual components and study the<br />

system as a whole. But if we do so, our tools and<br />

standardized test recipes no longer serve us in the way<br />

they used to.<br />

To solve this, we increasingly work with models to predict<br />

the behavior of the systems. Modeling allows us<br />

to set up virtual reliability tests. These can pinpoint the<br />

weakest spots in the system and show a way to optimize<br />

the system’s design. Of course, these models still<br />

have to be verified experimentally and the actual system<br />

still has to be tested. Research into materials also<br />

plays a major role with these new systems. How reliable<br />

are the materials that you are using? It’s not because the<br />

optimal process calls for a certain material, that this will<br />

be the best choice from the point of view of reliability.<br />

Worldwide, a lot of research is done on very innovative<br />

MEMS. However, not many of these ideas ever become<br />

INTERVIEW WITh INGRId dE WoLf<br />

IMEC CMORE<br />

commercially available. One reason is that researchers<br />

don’t always realize that companies will want to use<br />

their R&D in real products. So they don’t take reliability<br />

into account when they design and process the MEMS.<br />

Their devices may show a first promising behavior, but<br />

they often are not reliable. Turning them into a reliable<br />

product may require a lot of extra funding and time. In<br />

many cases this could have been avoided by including<br />

reliability issues from the start, for example through a<br />

better upfront choice of materials and designs.<br />

The growing integration also results in a growing number<br />

of failure mechanisms. But to predict if and when these<br />

will cause failures, or how you can test for them, you<br />

must know the underlying physics. Take for example<br />

MEMS or NEMS, which have moving micro- or nanoparts.<br />

These mechanical parts can suffer from failure<br />

mechanisms such as creep, stiction, fatigue, cracking<br />

etc. which are not an issue in conventional ICs. Moreover,<br />

these systems must function under circumstances<br />

that are not the same as for standard ICs. A MEMS resonator<br />

for example must function in a vacuum. To study<br />

the behavior under these circumstances, we have to set<br />

up new optical, thermal, mechanical and electrical tests.<br />

Reliability research is more and more application-<br />

oriented. A failure of an accelerometer in a step counter<br />

is not life-threatening. However, if the accelerometer is<br />

used to decide when to deploy airbags in a car, it’s<br />

29


inGrid de WoLf<br />

RELIABILITy: FORECASTINg<br />

THE FUTURE<br />

a whole different story. So every specific<br />

application of a component or system calls<br />

for a specific reliability study. Therefore, we<br />

have to set up system-dedicated test methods.<br />

But of course, you cannot set-up a<br />

dedicated test for each sample. The test methods<br />

should remain as generic as possible so<br />

that they can be applied to a broad family<br />

of systems.<br />

The growing integration requires that reliability<br />

researchers have a broad knowledge of a<br />

large range of application domains: heterogeneous<br />

integration, scaling, solar cell technology,<br />

biomedical technology, 3D technology,<br />

etc. And it also calls for collaboration<br />

between reliability specialists and experts in<br />

design, processing, materials, and systems.<br />

We see this evolution happening at imec:<br />

there is an increasing collaboration between<br />

the research units. One of imec’s strong<br />

points is that we have all the necessary expertise<br />

in-house and that we can form multidisciplinary<br />

teams with experts in electronics<br />

and mechanics, designers, chemists, material<br />

scientists, physicists, modeling specialists,<br />

etc. Such collaboration really is the only way<br />

to make the reliable systems of the future.<br />

30<br />

HIgHLIgHTS - The business line CmOS-based heterogeneous integration (CmORE)<br />

incorporates all imec’s activities on strongly integrated IC systems with added<br />

functionality. These include mEmS (micro-electromechanical systems), sensors, power<br />

electronics, silicon photonics, and advanced interconnection and packaging technology.<br />

01<br />

Testing the reliability<br />

of MEMS<br />

In <strong>2009</strong>, for MEMS testing and reliability, imec set up<br />

an improved automatic wafer-level test system. This<br />

system allows measuring the functionality and reliability<br />

of MEMS in various environments (with varying<br />

temperature, pressure, gasses), using both electrical<br />

and optical measurement signals.<br />

In addition, we built and demonstrated a test environment<br />

for testing the electrostatic discharge (ESD)<br />

sensitivity of MEMS in various environments, with<br />

in-situ motion monitoring of the MEMS movements<br />

during the ESD zap.<br />

02<br />

Record piezoelectric<br />

energy harvester<br />

In <strong>2009</strong>, imec and Holst Centre presented a MEMS<br />

piezoelectric energy harvester. This device generates<br />

a record 85µW from vibration energy. To ensure the<br />

robustness of the MEMS device, it was packaged in a<br />

wafer-level process. The harvester generates enough<br />

power to drive a sensor that intermittently measures<br />

the temperature and sends it wirelessly to a base<br />

station.<br />

3D stacked ICs after die-to-wafer bonding process


03<br />

Testing for 22nm<br />

IC technology<br />

For back-end-of-line dielectrical reliability, imec found<br />

that the E and root-E models are too conservative to<br />

describe the real physics of failure. <strong>Imec</strong> also obtained<br />

very encouraging first electromigration data for 30nm<br />

half-pitch copper lines. Furthermore, we successfully<br />

used finite element modeling to study residual<br />

stress and vacancy gradients in copper structures.<br />

<strong>Imec</strong> started first experiments to study in-situ<br />

electromigration and time-dependent breakdown in a<br />

SEM with nanoprobes. We also optimized the material<br />

characterization of thin films using nano-indentation.<br />

<strong>Imec</strong>’s ultralow-power electrostatic actuator<br />

04<br />

Reliability<br />

of 3D structures<br />

In the 3D program, imec demonstrated a high-reliability<br />

performance of Cu-Sn intermetallic interconnects. We<br />

optimized electromigration tests through an in-situ<br />

temperature monitoring during testing. Important<br />

finite element modeling results were obtained on Cu<br />

pumping, crack reduction at metal/organic dielectric<br />

inter faces, and thermal modeling of 3D stacks with<br />

hot spots. We also optimized the thermal transient<br />

measurement system, allowing automatic measurements<br />

on test chips with active hot spots. Various<br />

metrology systems were assessed for application in<br />

the 3D program.<br />

<strong>Imec</strong> and Holst Centre’s piezoelectric harvester packaged on a wireless temperature sensor<br />

hIGhLIGhTs<br />

IMEC CMORE<br />

05<br />

Micro-actuator for in-vivo<br />

biomedical applications<br />

<strong>2009</strong>, imec fabricated a watertight ultralow-power<br />

micro-actuator with an integrated micro-needle. This<br />

innovative combination of characteristics makes the<br />

actuator especially suited for use in in-vivo biomedical<br />

applications, such as implants and long-term patient<br />

treatment. The actuator could be used, for example,<br />

to accurately control the position of micro-needles<br />

used in brain applications.<br />

The new actuator is fabricated using SOI-based (siliconon-insulator)<br />

micromachining. It combines a large range<br />

(±50µm) with sufficient force (±195µN) to position for<br />

example in-vivo brain electrodes. The actuator works<br />

at 11V, which is three times lower than the operating<br />

voltages of the current available actuators. Moreover,<br />

the actuator consumes below 100nW and can therefore<br />

be used in applications that require a long battery<br />

life.<br />

31


human++<br />

Pioneering efficient<br />

healthcare


IMEC<br />

JAARVERSLAG <strong>2009</strong>


kris<br />

Verstreken,<br />

Director Life Sciences,<br />

Human++


BIO-NANO:<br />

POwERFUL HEALTHCARE DIAgNOSTICS AND THERAPIES<br />

Developing therapies for the ageing population<br />

and its diseases will cost society, both in terms<br />

of effort and capital. We are faced with the<br />

challenge to offer high-quality healthcare that is universally<br />

accessible and that has an acceptable cost.<br />

At imec, we want to take part in this development.<br />

We work on innovative instruments to support the<br />

research into diseases. Second, we look into technologies<br />

that can diagnose diseases at an early stage. And<br />

last, we want to help the pharmaceutical industry with<br />

instruments to develop therapies more efficiently, for<br />

example through screening and selecting candidate<br />

medicines at an earlier stage.<br />

<strong>Imec</strong> focuses on fundamental research that will be<br />

relevant for society and industry in a somewhat longer<br />

term. Our research is situated in between the developments<br />

in the companies and the research that is done<br />

at universities. This is a position we’ve also taken – with<br />

great success – in the development of IC technology.<br />

The labs at universities are small, flexible and not<br />

standardized, while the labs at pharmaceutical companies<br />

are standardized, less flexible, and geared towards<br />

mass production. <strong>Imec</strong>, taking the best of both sides,<br />

will establish a flexible research lab with an industrial<br />

infrastructure. Such an industrial lab will boost our re-<br />

search, and it will enable us to test new technologies<br />

for their viability in mass-produced applications. It also<br />

enables us to prove to the industry that our inno vative<br />

technologies are on a par with commercially available<br />

solutions.<br />

<strong>Imec</strong> develops lab-op-chip systems and biosensor<br />

technology. Its in-house developed coatings form an<br />

interface between electronics and biology. And its<br />

functional nanoparticles bind specifically to certain<br />

types of cells. That way, they can be used for the<br />

diagnosis of specific diseases. Or, going a step further,<br />

they can help treat diseases, for example by destroying<br />

the diseased cells that the nanoparticles bind to. Or<br />

the nanoparticles can be coated or filled with medicines<br />

and brought in the bloodstream, or via fagocytose<br />

even in the cells.<br />

Plasmonic waveguides are ultrasmall guides that<br />

can transport light. Eventually, they could be used to<br />

replace the electrical interconnections on an IC. And<br />

we can think of exciting applications in the medical<br />

domain too, for example in fundamental brain research.<br />

We could use plasmonic waveguides to stimulate<br />

brain cells with light and to measure their reaction.<br />

In this way, we come a step closer to mimicking the<br />

natural chemical communication between brain cells<br />

INTERVIEW WITh kRIs VERsTREkEN<br />

HUMAN++<br />

(with neurotransmitters). But that is still some time<br />

off; today the research into cell communication is<br />

done through electrical stimulation. To that end, imec<br />

has developed micronail chips that allow neurons to<br />

grow on the chip’s surface. That is an alternative to the<br />

instruments that are commercially available and that<br />

are either not precise enough or very labor intensive.<br />

In the end, we hope to get an understanding of the<br />

communication between the millions of neurons<br />

in our brain. This would allow us to develop better<br />

therapies for diseases such as Alzheimer’s or Parkinson’s,<br />

or even to repair connections in the brain after a<br />

trauma.<br />

Deep brain stimulation – stimulation of a bundle of<br />

brain cells with an electrical current – is already used<br />

today to treat, for example, Parkinson’s disease or<br />

severe depressions. But the current technology is not<br />

very precise; it has a lot of negative side effects. <strong>Imec</strong><br />

develops innovative electrodes that allow a more<br />

precise and focused stimulation. The goal is to be able<br />

to listen to the effect of our stimulation – a closedloop<br />

system. That way, we can adapt the stimulation<br />

to what is really needed at a certain moment. That<br />

closes the circle: providing a precise therapy, only<br />

when needed, with a higher comfort for the user, and<br />

using cost-effective, mass-producible instruments.<br />

35


chris<br />

Van hoof,<br />

Director Integrated Systems,<br />

Human++


mEDICINE<br />

gOES DIgITAL<br />

April <strong>2009</strong>, The Economist issued a special edition<br />

titled “Medicine Goes Digital”. According<br />

to the magazine, the convergence of biology<br />

and engineering is turning healthcare into an information<br />

industry, a change that will be disruptive, but also<br />

hugely beneficial for patients. This article is only one<br />

of many examples showing that the idea of leveraging<br />

IC technology for healthcare is garnering worldwide<br />

attention. There is a growing hope that, with the help<br />

of electronics, we will be able to treat more people<br />

than we can today, at a lower price per person, and<br />

for a wider range of conditions, even including preventive<br />

healthcare.<br />

I believe that electronics will be able to materialize<br />

that hope, for a number of reasons. First, we more and<br />

more succeed in creating technology that fits the human<br />

body: ultrasmall, ultraflexible, intelligent systems.<br />

Second, it is relevant technology that can help people<br />

lead a healthier life. And last, we have proven production<br />

processes to cost-effectively mass-produce such<br />

IC-based devices.<br />

At imec and Holst Centre, we work on two application<br />

domains.<br />

One is body area networks, where you carry microsensors<br />

comfortably on you body or in your clothes.<br />

Microsensors that monitor body parameters and that<br />

transmit results wirelessly. That is a technology that is<br />

currently gaining acceptance in the medical and the<br />

consumer electronics community; technology that<br />

is beginning to appear on future product roadmaps.<br />

Based on what is being developed, e.g. by imec,<br />

the industry sees a road to relevant, profitable, massproduced<br />

applications. And to grow that interest<br />

further, that’s why we go to great lengths to fabricate<br />

prototypes, and to have them used and validated at<br />

universities and hospitals.<br />

A second application domain concerns implantables,<br />

electronics that function inside the body. Also here,<br />

we have made progress, but it will definitely take<br />

more time before commercial applications are fabricated<br />

that build on this research of ours. The inside of<br />

a human body is altogether a more challenging environment<br />

to develop technology for. And given the<br />

stringent regulations and long approval procedures for<br />

this type of applications, the industry is more cautious<br />

before it embarks on product development. Never-<br />

theless, the benefits of such implantable solutions,<br />

which can be based on our out-of-the-box and very advan<br />

ced technology solutions, are obviously important.<br />

For body area networks, what are the technolo gi cal<br />

challenges? You want integrated systems that are as<br />

INTERVIEW WITh chRIs VaN hoof<br />

HUMAN++<br />

small and comfortable as possible. And you want<br />

them to be extremely reliable and easy to use,<br />

requiring no maintenance. That calls for inno va tive<br />

break throughs in sensing, packaging (e.g. flexible,<br />

stretch able packages), wireless communication, and<br />

energy technology (e.g. battery technology and<br />

en er gy scavenging). For many of these areas, imec<br />

has achie ved breakthroughs and has come up with<br />

solutions.<br />

An obvious issue is: how do you design, optimize, and<br />

integrate these systems? This is also an area of innovation,<br />

because it calls for combining various technologies<br />

(e.g. MEMS sensors, wireless radios, intelligence)<br />

in one package. A traditional approach would be to<br />

optimize all subsystems, and then combine them in a<br />

package. But for these types of applications, this will<br />

lead to solutions that are suboptimal by several orders<br />

of magnitude. Therefore, we started the path of<br />

heterogeneous system co-optimization. One example<br />

is an optimization where the wireless sensor only<br />

sends relevant information (for example heart beat<br />

rhythm analysis). To do that, you need on-board intelligence<br />

to compute and extract the relevant data.<br />

But you also need a radio that can be switched off<br />

and on on-the-fly, almost without using energy. This<br />

way, you could reduce the system power consumption<br />

by a factor of up to 100.<br />

37


hIGhLIGhTs<br />

HUMAN++<br />

HIgHLIgHTS - <strong>Imec</strong> and Holst Centre, an open innovation initiative of imec and TNO, work<br />

on solutions for a cost-efficient and reliable healthcare. <strong>Imec</strong>’s focus is on heterogeneous<br />

systems for diagnosis and therapy, such as lab-on-chips or nanoparticles. <strong>Imec</strong> and Holst<br />

Centre collaborate on technology for wearable and implantable body area networks with<br />

sensors that continuously register and interpret health parameters.<br />

01<br />

Breakthrough lab-on-chip<br />

for early cancer detection<br />

and therapy<br />

<strong>Imec</strong> and IMM (Institut für Mikrotechnik Mainz) – one<br />

of Europe’s leading research centers in microfluidics –<br />

and their partners in the FP6 MASCOT project have developed<br />

an innovative lab-on-chip. This is a poten tial<br />

breakthrough in the detection and therapy of breast<br />

cancer. It is the first lab-on-chip device that combines<br />

various complex probing and detection techniques. All<br />

modules for probing, processing and detection were<br />

finalized in <strong>2009</strong>; they will now be validated clinically<br />

during a study on the effects of breast cancer therapy.<br />

38<br />

microfluidic chip<br />

with biosensor<br />

02<br />

Design<br />

strategy for<br />

brain probes<br />

<strong>Imec</strong> is working on a new design methodology for electronics<br />

that have to function in a fluidic environment.<br />

As a concrete example, imec developed a prototype<br />

probe for deep brain stimulation.<br />

A precise stimulation calls for electrodes<br />

that have the same dimensions as the<br />

cells they stimulate. Such electrodes<br />

can be made by combining silicon<br />

IC processes, heterogeneous system<br />

design, and advanced signal<br />

processing. <strong>Imec</strong>’s new design<br />

methodology enables fabricating<br />

such functioning<br />

micro-electrodes.<br />

Packaged multielectrode probe<br />

for neural recording and stimulation


03<br />

NERF – top-notch<br />

fundamental brain research<br />

In <strong>2009</strong>, NERF – Neuroelectronics Research Flanders – was launched. NERF is a research initiative of imec, the<br />

University of Leuven and the Flemish Institute of Biotechnology (VIB). Its aim is to bring together top researchers<br />

to unravel the workings of the brain. Because this is such a challenging and new research domain, NERF actively<br />

seeks to involve researchers and expertise from various domains: imec’s expertise in nanoelectronics, VIB’s expertise<br />

in biotechnology, and the University’s expertise in medicine.<br />

NERf will be the first multidisciplinary research center to study the interaction between brain cells in such detail<br />

with the help of electronics. dedicated Ics will be used to read and interpret the signals of brain cells, and<br />

to decode the signals with which they communicate. once this code is cracked, we can have the Ics and cells<br />

communicate. In that way we hope to gain a better understanding of the functioning of the human brain. In the<br />

longer term, this should lead to new therapies for people with brain damage or disorders.<br />

Dissolved nanoparticles<br />

made from noble metals<br />

and metal oxides<br />

39


hIGhLIGhTs<br />

HUMAN++<br />

40<br />

04<br />

Sleep monitoring<br />

clinically validated<br />

A prototype headset combining wireless and low-power electronics was validated for sleep<br />

staging in the sleep laboratory at the University Hospital Center in Charleroi, (Belgium), against a<br />

commercially available reference system.<br />

<strong>Imec</strong> and Holst Centre’s headset is lightweight, wearable and miniaturized. It has three sensors<br />

measuring only 20 x 60 x 8 mm³. Each of them includes two ultra-low power biopotential read-out<br />

ASICs that amplify and filter the captured signals and a wireless radio to send the data to a recording<br />

computer. The nodes consume only 5mA, allowing the 12 hours autonomy that are needed for<br />

comfortable sleep monitoring.<br />

The validation proves that wireless headsets could replace current systems for monitoring sleep<br />

stages. It also shows that this particular prototype is mature and ready for product development.


05<br />

Arousal monitoring:<br />

medical pilot studies<br />

<strong>Imec</strong> and Holst Centre have further developed their wireless emotion<br />

monitoring technology and prototype setup. The emotion monitor<br />

is a body area network that measures the activity of the para sympathetic<br />

and sympathetic nervous systems, for example the heart rate<br />

varia bility, galvanic skin conductance and muscle tension. These parameters<br />

are markers of emotion and stress.<br />

In <strong>2009</strong>, the wireless emotion monitor featured in two pilot studies.<br />

One, with the Leuven University hospital and PRD - Janssen<br />

Pharma ceutica, monitored people diagnosed with stress-related psychia<br />

tric disorders, comparing them to a control group of healthy<br />

individuals. The results of that study indicate that our monitoring<br />

system is able to delineate the two groups, showing that the two<br />

groups have a different median stress profile. The results also show<br />

classification accuracy up to 75%.<br />

A second pilot study explored back muscle tension in relation to<br />

work-induced stress. The measurements combined several parameters<br />

including an electromyogram (EMG) of the trapezius muscle. The<br />

results affirm that our emotion monitor can pick up this type of stress<br />

as an increase in the amplitude of the EMG signals and a decrease in<br />

the frequency of EMG gaps.<br />

06<br />

ECG necklace:<br />

comfortable and powerful<br />

<strong>Imec</strong> and Holst Centre have made a prototype ECG<br />

necklace (electrocardiogram). This technology enables<br />

long-term monitoring of cardiac performance and allows<br />

patients to continue their daily activities while<br />

under observation.<br />

The ECG necklace contains imec’s ultralow-power bio-<br />

potential readout ASIC, which enables a low-noise<br />

amplification of the signals at a very low power budget.<br />

A wavelet-based algorithm for heart beat detection,<br />

embedded in a second low-power IC, computes the<br />

instantaneous heart rate. It is accurate even under<br />

a high level of noise, which is inherent in ambulatory<br />

monitoring. A third low-power IC wirelessly transmits<br />

the ECG data to a receiving computer that may be up<br />

to 10m away. The ultralow-power electronics ensure<br />

7 days of autonomy.<br />

41


imec<br />

enerGy<br />

Powering a sustainable<br />

world


marianne<br />

Germain,<br />

Program manager gaN IIAP


ExTREmE<br />

mATERIALS<br />

FOR POwER ELECTRONICS AND LIgHTINg<br />

At imec, we explore the use of III-nitride<br />

materials – of which GaN (gallium-nitride) is<br />

best known – for use in two technologies:<br />

power electronics and solid-state lighting. Both are of<br />

key importance for a more sustainable use of energy.<br />

Consequently, we see a growing interest in GaN and in<br />

our R&D in this domain.<br />

Power electronics convert electric power through<br />

solid-state components. Such converters can be found<br />

wherever there is a need to modify the form of electricity,<br />

i.e. its voltage, current, or frequency. Take for<br />

example solar cell panels, which generate a DC current<br />

that must be converted to AC before it can be used<br />

in the grid or in home appliances. The market for such<br />

power-converting components is destined to grow<br />

considerably, because of the drive to use more hybrid<br />

electrical vehicles in transport, more solar installations,<br />

more wind farms, and the smart grids to connect it all.<br />

The key driver for power conversion is efficiency:<br />

ideally, there should be no loss when converting<br />

energy. Furthermore, you want components that are<br />

cheap, reliable and small, and that can work under<br />

high-voltage and high-temperature. These are the<br />

main challenges for improving power electronics.<br />

The current components for power electronics are<br />

based on Si. But R&D is reaching the limits of what can<br />

be done with Si. New materials are needed, typically<br />

wide-bandgap semiconductors. Intrinsically, these are<br />

more robust at high voltages. GaN is a good candidate:<br />

it has an electrical breakdown voltage that is 10 times<br />

higher than that of Si, and it has excellent transport<br />

properties.<br />

For our first generation of GaN components, we have<br />

already gained an order of magnitude in loss reduction<br />

for 600V-class breakdown voltage, just by switching<br />

to GaN. We expect that we can improve this further:<br />

the theoretical limit shows we could gain another 2<br />

orders of magnitude. This will enable the high-voltage,<br />

high-power, and high-temperature circuits needed by<br />

tomorrow’s applications. Moreover, GaN has other advantages,<br />

notable a very high speed, and the possibility<br />

for further miniaturization by switching to a higher<br />

frequency, thus reducing the sizes of passive components.<br />

This of course requires a parallel development<br />

of passive components for high power applications.<br />

But before the use of GaN becomes widespread,<br />

and cheap GaN components can be fabricated, a few<br />

issues have to be tackled.<br />

First, to reduce the cost, you need processing on largearea<br />

wafers. To make such wafers, imec is proposing<br />

an approach where GaN is deposited on a Si wafer.<br />

Currently, we’re using 4inch and 6inch wafers, and<br />

INTERVIEW WITh MaRIaNNE GERMaIN<br />

IMEC ENERGY<br />

we‘ve succeeded in making a first demo 200mm GaNon-Si<br />

wafer, together with our equipment supplier.<br />

<strong>Imec</strong> is one of the few labs in the world that has the<br />

expertise to control the stresses that originate when<br />

GaN is deposited on Si.<br />

A second issue we’re working on is to make the<br />

GaN processing compatible with CMOS processing<br />

(Au-free). If we succeed, the uptake of GaN technology<br />

by the industry will be easier. There are many<br />

existing 6inch and 200mm fabs that are looking for<br />

new business.<br />

Solid-state lighting, with light-emitting diodes (LEDs),<br />

is our second area of interest. Currently, light bulbs<br />

are phased out, being replaced mainly by fluorescent<br />

lamps. The next generation of lighting, still more efficient,<br />

will be LED lighting. But today, LED technology<br />

is still at least a factor 10 too expensive. Also here<br />

we are looking to introduce GaN, with cheaper,<br />

large-area processing. Next to that, we also look at<br />

improving the light efficiency, getting more light for<br />

the same amount of energy. There is still a lot of<br />

room for im provement, from what is reached today<br />

in the labs and in production to what is theoretically<br />

possible.<br />

45


Jef<br />

poortmans,<br />

Director Photovoltaics


<strong>Imec</strong>’s research into photovoltaics is one of the cornerstones<br />

of its strategy – looking for solutions for<br />

sustainable energy generation. The research is focussed<br />

onto finding techniques to fabricate cheaper<br />

and more efficient solar cells. We work on a whole<br />

range of technologies, going from silicon solar cells<br />

(the bulk of today’s commercially available cells),<br />

over organic solar cells (not available yet, but a cheap<br />

alternative to today’s cells), to advanced stacks of<br />

super-efficient solar cells (to be used, for example, in<br />

satellites or solar concentrators).<br />

The solar cell market is rapidly expanding, and the<br />

share of electricity generated from solar cells will keep<br />

on growing: scenarios vary from a few percentages to a<br />

full 10% of European electricity generation in 2020. The<br />

focus of the solar industry will shift from the cells to<br />

the modules, from the electricity generation to a total<br />

solution for the customer.<br />

Such a total-solution module might have an integrated<br />

mini aturized inverter – a component that converts DC<br />

to AC and that today is still bulky, expensive, and installed<br />

separately. It could also include local energy storage,<br />

helping to balance the electricity grid and allowing<br />

optimization of the invertor design. And such a mo -<br />

dule could have integrated technology for maximum<br />

power point tracking, which could help overcome the<br />

negative effects of shadowing on the modules.<br />

Because imec has so much expertise in all the relevant<br />

domains, we are confident that we can help implement<br />

such an intelligent solar module. We have been active<br />

in solar cell research for 25 years now. But next to that,<br />

we have built an extensive expertise in new materials<br />

for power electronics. Expertise that is needed to build<br />

the miniaturized inverters, or the components that<br />

balance the future electricity grid. And we have the<br />

knowledge in materials science and nanotechnology to<br />

work on solutions for local energy storage.<br />

The future solar module, as we see it, is a vision, a concept<br />

that we can offer as a package to our industrial<br />

partners, just like we have done so successfully in the<br />

microelectronics domain.<br />

To help speed up innovation, the solar cell industry has<br />

to look for new business models for collaboration in<br />

R&D. Today, the solar cell industry has reached a level<br />

of maturity and stability. But the traditional way of<br />

collaborating based on exclusive bilateral agreements<br />

could slow down the technological evolution and the<br />

transfer from the lab to the fab.<br />

In <strong>2009</strong>, <strong>Imec</strong> took the initiative and launched its industrial<br />

affiliation program (IIAP) on crystalline silicon<br />

solar technology. As with imec’s other successful IIAPs<br />

in IC scaling, we started by defining a generic base for<br />

research. Companies that join our program will start<br />

INTERVIEW WITh JEf PooRTMaNs<br />

IMEC ENERGY<br />

SOLAR ENERgy<br />

FOR SUSTAINABLE<br />

ENERgy gENERATION<br />

from that base and do joint precompetitive research to<br />

reduce the cost of energy production through silicon<br />

solar cells. One way of doing that is finding ways to<br />

reduce the use of silicon in the cells, while at the same<br />

time increasing their conversion efficiency.<br />

By bringing companies together around this program,<br />

we want to create a critical mass, an ecosystem with<br />

solar cell producers, material suppliers, tool suppliers,<br />

and energy companies. The cross-fertilization between<br />

these will advance the research to new levels.<br />

Our model of collaboration of course includes a fair<br />

model for handling intellectual property, safeguarding<br />

the interests of all partners alike. And these partners<br />

always keep the possibility to develop their own intellectual<br />

property in parallel with the program.<br />

This way of collaborating, which we have applied for<br />

25 years in the domain of IC scaling, was until recently<br />

unheard of in the solar cell industry. But our initiative<br />

has already generated a lot of interest, both from industrial<br />

players and from official bodies. We believe<br />

this is the result of our longstanding expertise in open<br />

innovation, of our multi-disciplinarity, and of course of<br />

the successful research we have already done in solar<br />

cell technology.<br />

47


hIGhLIGhTs<br />

IMEC ENERGY<br />

HIgHLIgHTS - <strong>Imec</strong>’s photovoltaic research focuses on improving the conversion<br />

efficiency and lowering the price of silicon solar cells. <strong>Imec</strong> also works on organic<br />

solar cells and on high-efficiency cells based on III-V materials. Another focus of<br />

the energy research is gaN for power electronics and LED lighting.<br />

01<br />

<strong>Imec</strong> signs<br />

collaboration agreements<br />

<strong>2009</strong>, imec signed a collaboration agreement with<br />

Total and GDF-Suez (two major energy companies),<br />

Photovoltech (solar cell producer), and Schott Solar<br />

(solar cell producer). The goal of the agreement is<br />

to reduce the cost of solar energy with crystalline<br />

silicon cells by reducing the use of silicon as base<br />

material and by increasing the efficiency of the cells.<br />

This research is done in the frame of imec’s IIAP on<br />

crystalline silicon solar technology. Other partners in<br />

this program are material and tool suppliers MEMC<br />

Electronic Materials Inc., Leybold Optics Dresden<br />

GmbH, Roth & Rau AG, and Mallinckrodt Baker B.V.<br />

Next to the IIAP, imec has bilateral collaboration<br />

agreements in solar technology with important<br />

players such as BP Solar and Kaneka.<br />

48<br />

02<br />

GaN IIAP<br />

launched<br />

In <strong>2009</strong>, imec has launched a new industrial affiliation<br />

program (IIAP) on GaN. In this program, imec and its<br />

partners work on GaN technology for both power<br />

conversion and solid-state lighting.<br />

For power electronics, the IIAP aims at developing<br />

high-voltage, low-loss, high-power switching devices.<br />

Potential applications include high-power switching<br />

in solar converters, motor drives, hybrid electrical<br />

vehicles or switch mode power supplies. An important<br />

goal of the program is to lower the cost of GaN<br />

processing by using large-diameter GaN-on-Si.<br />

The program also exploits GaN-on-Si for high-efficiency<br />

high-power LEDs. A key issue that is addressed is<br />

the enhancement of external and internal quantum<br />

efficiencies for enabling high-power LEDs.<br />

SiN/AlgaN/gaN field effect transistor


03<br />

<strong>Imec</strong> presents<br />

a large-area solar cell<br />

with a conversion<br />

efficiency of 18.4%<br />

At the <strong>2009</strong> European Photovoltaic Solar Energy<br />

Conference (Hamburg, Germany), imec has presented<br />

a solar cell with a conversion efficiency of 18.4%.<br />

In power handling, such normally-off devices are<br />

needed for safety reasons.<br />

The shallow emitter results in an enhanced blue<br />

response, and thus in a higher conversion efficiency<br />

than with standard emitters. Using copper instead<br />

of silver adds to the sustainability of solar cell production.<br />

The results were obtained on large-area<br />

cells (125cm²), proving the industrial viability of the<br />

process.<br />

49


50<br />

<strong>Imec</strong>’s mechanically<br />

stacked gaAs/ge cell<br />

04<br />

Promising mechanicallystacked<br />

GaAs/Ge<br />

multijunction solar cell<br />

Also in <strong>2009</strong>, imec unveiled a mechanically-stacked<br />

GaAs/Ge multijunction solar cell. This is the first promising<br />

demonstrator of imec’s novel technology to<br />

produce mechanically stacked, high-efficiency multijunction<br />

solar cells, aiming at efficiencies above 40%.<br />

At the top of the stack is a one-side contacted GaAs<br />

top cell that has an efficiency of 23.4%, and that is only<br />

4µm thick and transparent for infrared light. This GaAs<br />

top cell was transferred onto a Ge bottom cell, creating<br />

a mechanical stack. The Ge bottom cell is separately<br />

contacted and has a potential of 3-3.5%, which is<br />

higher than Ge bottom cells in state-of-the-art monolithically<br />

stacked InGaP/(In)GaAs/Ge cells. Looking<br />

forward, imec expects to show a first working triplejunction<br />

cell in 2010.<br />

05<br />

Innovative<br />

GaN-on-Si double<br />

heterostructure FET<br />

At the <strong>2009</strong> IEDM conference, imec has shown an<br />

innovative, simple, and robust architecture for GaNon-Si<br />

enhancement-mode power switching devices. In<br />

power handling, such normally-off devices are needed<br />

for safety reasons.<br />

This GaN-on-Si double heterostructure field effect<br />

transistor (FET) has a high breakdown voltage of 980V,<br />

an excellent uniformity, and a low dynamic specific<br />

on-resistance of 3.5m�.cm 2 .<br />

These excellent characteristics make this architecture<br />

suited to replace the technology in high-power switching<br />

applications, reducing the power losses incurred<br />

with current components.


06<br />

Organic solar<br />

cells with efficiencies<br />

above 5%<br />

Conventional organic solar cells are produced through<br />

spin coating, a fast and cheap process to test new active<br />

layers for solar cells. In <strong>2009</strong>, imec optimized the<br />

spin coating technique, the commercially available<br />

inks, and the electrodes. This resulted in a conversion<br />

efficiency of 5.4%.<br />

<strong>Imec</strong>’s flexible organic solar cells (5x5cm²)<br />

hIGhLIGhTs<br />

IMEC ENERGY<br />

51


imec<br />

smart<br />

systems<br />

Building a flexible<br />

interactive world


Liesbet<br />

Van der<br />

perre,<br />

Program Director<br />

wireless Communication


wIRELESS COmmUNICATION<br />

gETS A gREEN<br />

TOUCH<br />

With every new generation of mobile<br />

phones, we expect to get a better user<br />

experience. We want to communicate<br />

anytime and everywhere, and we want to send and<br />

retrieve any amount of information. We want more<br />

functions, and we want a better quality: larger screens,<br />

faster applications, more memory, lighter weight. And<br />

we want this extra quality and performance combined,<br />

of course, with a longer autonomy and less battery<br />

recharges.<br />

Current wireless devices need lots of energy to run.<br />

The result: bulky batteries, frequent recharges, and<br />

a low autonomy. This seriously impacts the user<br />

experience. Moreover, it doesn’t fit in with the present<br />

call for a sustainable energy use. Another reason to<br />

seriously restrict the energy budget: for some of the<br />

future applications, a small volume and a long autonomy<br />

are essential, think of wireless portable devices<br />

for medical applications, implants or sensors that<br />

measure heat and brain activity.<br />

So the new generation of devices has to use energy<br />

sparingly. Their batteries should be smaller, or they<br />

should run on energy harvesters, devices that capture<br />

energy from the environment and turn it into electricity.<br />

With efficient energy harvesters, devices could<br />

even become completely autonomous.<br />

At the same time, there is a need for more flexible<br />

devices with more intelligence. Devices that can negotiate<br />

and switch between frequencies, for example.<br />

The current spectrum used by wireless devices is overloaded,<br />

while other parts of the frequency spectrum<br />

are still underused. Future devices should be able<br />

to use the spectrum availability more flexibly. Another<br />

area for improvement are the communication<br />

standards. Future devices should be able to switch<br />

between standards, choosing the best option depending<br />

on the location and user environment, the available<br />

frequency bands, and the requirements of the user<br />

or application.<br />

<strong>Imec</strong> works on these issues, developing technology<br />

for the next generation of mobile communication.<br />

These devices have to be as smart, cheap, and simple<br />

as possible. And they should provide an answer to the<br />

following three challenges. First, we look at ways to<br />

improve the performance of mobile phones. At the<br />

same time, our technology will be ultra-low power and<br />

INTERVIEW WITh LIEsbET VaN dER PERRE<br />

IMEC SMART SYSTEMS<br />

as small as possible. And third, we develop flexible and<br />

intelligent radios that communicate using the optimal<br />

standard depending on the circumstances of use and<br />

the user’s requirements.<br />

Recently, we took on a fourth challenge: we want to<br />

restrict the electromagnetic radiation that wireless<br />

radios emit. Today, a lot of energy and radiation is<br />

being wasted. So the question is how to develop devices<br />

that restrict the radiation to where it is needed,<br />

without using more energy or lowering the performance.<br />

One solution is to have technology that restricts the<br />

wireless communication to short ranges. That way, a<br />

major part of the radiation and the wasted energy can<br />

be avoided. We could combine that with a technology<br />

that whenever possible switches to 60GHz communication.<br />

If we succeed in coupling such technology<br />

with the intelligence and flexibility that we also work<br />

on, we could restrict both the energy use and the<br />

electromagnetic radiation to the maximum. And that<br />

will improve the quality and user experience of wireless<br />

communication.<br />

55


hIGhLIGhTs<br />

IMEC SMART SYSTEMS<br />

HIgHLIgHTS - <strong>Imec</strong> develops smart radio systems for the mobile phone of the future:<br />

flexible radio chips that switch between standards, 60gHz radio chips for fast wireless<br />

transmission of data, wireless autonomous systems, and advanced multimedia systems.<br />

<strong>Imec</strong> also works on smart systems with organic electronics such as intelligent clothing,<br />

RFID labels, rollable displays, organic memories, plastic signage and lighting.<br />

01<br />

<strong>Imec</strong> improves technology<br />

for flexible radio ICs<br />

Future mobile phones will work with flexible digital radio chips. Such chips will support various communication<br />

standards; they will automatically switch to the one that is most suited. In contrast to analog components, they<br />

will also be much smaller, cheaper to fabricate, use less energy, and enable integrating more functionality.<br />

In 2010, imec has presented a reconfigurable transceiver in 40nm IC technology. This small transceiver is low-cost<br />

and can work at a low power budget because it maximally profits from the scaling of the IC technology. <strong>Imec</strong> has<br />

also developed several innovative analog-to-digital converters (ADC), such as an RF-ADC in 40nm IC technology,<br />

with a frequency range from 60MHz to 2,4GHz. The innovative architecture of this ADC is a significant step towards<br />

building fully digital radios.<br />

56<br />

wafer with organic RFIDs<br />

02<br />

Record<br />

RFID tags<br />

<strong>Imec</strong>, Holst Centre and TNO are working on organic<br />

RFID-tags (Radio Frequency Identification Tags). These<br />

are a first step towards making really intelligent packages.<br />

They can be tagged onto product packages in shops.<br />

When you select the product and walk past the RFID<br />

reader at the intelligent cash register, your bill is automatically<br />

made.<br />

At the recent ISSCC 2010 conference, imec presented<br />

a dual-gate-based organic RFID chip with record data<br />

rate and lowest <strong>report</strong>ed operating voltage. The chip<br />

includes a 64-bit transponder circuit at 4.3kb/s, which<br />

doubles the performance of imec’s RFID solution in<br />

one year. Moreover, the RFID starts operating at lower<br />

voltages (down to 10V), making them suited for capacitive<br />

and inductive coupling with a readout station.<br />

Flexible multistandard transceiver in 40nm CmOS technology


Test PCB with imec and Holst Centre’s wake-up receiver IC<br />

03<br />

Wake-up receiver IC<br />

enables energy-friendly<br />

wireless communication<br />

Current systems for wireless communication use much<br />

energy, even at times when the radio doesn’t have to<br />

transmit or receive data. This is one of the reasons why<br />

such devices drain their batteries so fast. To overcome<br />

this problem, imec and Holst Centre are working on<br />

the ultralow-power technology for wireless communication.<br />

In <strong>2009</strong>, imec and Holst Centre developed a wake-up<br />

chip that can switch the radio chip on or off, so that<br />

it is only active when it is needed. The new wake-up<br />

chip works at 2.4GHz/915MHz and uses only 51µW of<br />

power during continuous use. This IC brings applications<br />

closer that work without battery and that harvest<br />

their energy from the environment. Sample application<br />

domains are wireless sensor networks in healthcare,<br />

smart implants, long-range RFID, or wireless sensors for<br />

smart buildings and logistics.<br />

04<br />

Second generation of<br />

imec’s ADRES processor<br />

architecture<br />

ADRES (architecture for dynamically reconfigurable<br />

embedded systems) is imec’s innovative processor architecture<br />

for wireless communication and multimedia<br />

applications. An XML template allows generating the<br />

ADRES processor best adapted to the target application.<br />

An ADRES processor is programmed in a high-<br />

level programming language (C), with the help of imec’s<br />

DRESC translation program. This guarantees a short<br />

time-to-market for applications using ADRES.<br />

In <strong>2009</strong>, imec developed a second generation of<br />

ADRES. Processors derived from this architecture are<br />

twice as performing, use half of the energy, and support<br />

multithreading. Such ADRES processors are suited<br />

as building blocks for future 4G devices. <strong>Imec</strong> offers<br />

ADRES as a licensing package.<br />

<strong>Imec</strong>’s 45nm 60gHz RF front-end<br />

05<br />

<strong>Imec</strong> develops costeffective<br />

solutions for<br />

wireless high-definition<br />

television (HD tv)<br />

Applications for which lots of data have to be transmitted,<br />

such as wireless HD tv, call for a large bandwidth.<br />

A possibility is to use the spectrum around<br />

60GHz, but the current ICs that operate at that frequency<br />

are expensive and use too much energy. <strong>Imec</strong><br />

aims to develop small, integrated, energy-efficient solutions<br />

for future consumer applications. The ultimate<br />

goal is to develop 60GHz radios completely in 45nm<br />

digital chip technology.<br />

In <strong>2009</strong>, imec presented its prototype 60GHz module<br />

for multi-gigabit per second wireless communication.<br />

This module combines imec’s antenna technology<br />

and antenna interface with 45nm RF front-end ICs. It<br />

deploys several antennas in an innovative way, using<br />

beamforming to arrive at a more powerful signal.<br />

57


facts<br />

and fiGures<br />

coLLaboration


dirk<br />

Vanderzande,<br />

Professor Hasselt University,<br />

Vice-Director ImOmEC


Because I work both at Hasselt University and at<br />

IMOMEC, the university lab that is associated<br />

with imec, I have a good view on the whole<br />

spectrum of research, a spectrum going from funda -<br />

men tal, long-term research to more application-driven<br />

research and development. Not so long ago, fundamental<br />

research and R&D were seen as irreconcilable: they<br />

were done at different labs, in different places, and by<br />

people who didn’t collaborate. The reigning idea was<br />

that you couldn’t touch upon fundamental issues when<br />

you did R&D; or that fundamental results couldn’t lead<br />

to innovative solutions.<br />

This view has radically changed, also thanks to imec. At<br />

the university labs, at IMOMEC, we pursue fundamental<br />

research, mainly through PhDs, we do applicationdriven<br />

R&D, and we do everything in between. We are<br />

now looking for opportunities to leverage our fundamental<br />

work in R&D and vice-versa. And we have learned<br />

that putting people who think about fundamental<br />

issues together with people who work on solutions and<br />

applications can lead to interesting ideas, sometimes<br />

even to breakthroughs. It is very rewarding to see that<br />

happening.<br />

The public sector has understood and followed that<br />

evolution. It asks us to think about the industrial valorization<br />

of our ideas, in exchange for the public money<br />

they give us to do fundamental research. So we can<br />

pursue long-term goals, at the same time keeping an<br />

eye on today, on solutions for today’s issues. We very<br />

much appreciate that open-mindedness, and the chances<br />

that we get.<br />

At the same time, I also have to express my concerns<br />

about the public funding of research. We see that, resulting<br />

from the current economic difficulties, there is<br />

a pressure to work with smaller budgets, budgets that<br />

are not always at a par with the ambitious research goals<br />

that have been set in Flanders. At this moment, our<br />

researchers can still make those ambitions true, thanks<br />

to their excellent education, enthusiasm, and innovativeness.<br />

I want to stress that they are doing a<br />

wonderful job, and we shouldn’t endanger their work<br />

and results.<br />

In the industry, we see a move away from corporate<br />

R&D towards collaborative research. <strong>Imec</strong>, for one, has<br />

a strong track-record in channeling that collaboration.<br />

INTERVIEW WITh dIRk VaNdERzaNdE<br />

ASSOCIATED LAB AT HASSELT UNIVERSITY<br />

BREAKTHROUgHS wITH<br />

FUNDAmENTAL AND<br />

APPLIED RESEARCH<br />

And there are other initiatives in which we are involved,<br />

and that aim at world-class research and results. One<br />

is SIM (Strategic Initiative on Materials), a collaborative<br />

effort, partly publicly funded, to work towards<br />

breakthroughs in materials sciences, and to leverage all<br />

the existing expertise in our region. Materials sciences<br />

are IMOMEC’s forte; and our results in the domain of<br />

materials lend support to the work done at imec, for<br />

example in the race towards sub-22 nm devices, or for<br />

the research done on organic electronics and photovoltaics.<br />

We valorize our research, for example, through spinoffs,<br />

of which we had a remarkable example in <strong>2009</strong>.<br />

Remarkable because it didn’t originate from inside our<br />

lab, from an idea that grew into an application, but from<br />

the outside, with an entrepreneur, a printing professional,<br />

who contacted us to talk about what was possible<br />

with electroluminescent materials. This worked out<br />

to be a very good match, as it combined the business<br />

knowledge of the printing sector with our experience<br />

on materials. For us, it was rewarding to see that technology<br />

grow, and I hope we will be able to repeat that<br />

story a few times more in the coming years.<br />

61


hIGhLIGhTs<br />

ASSOCIATED LAB AT HASSELT UNIVERSITY<br />

HIgHLIgHTS - ImOmEC is imec’s associated lab at Hasselt University (Belgium). ImOmEC<br />

is a materials research lab. Examples of our expertise are wide-bandgap materials, organic<br />

synthesis, organic materials for electronic applications, precursors for nanomaterials,<br />

biosensors, nanophysics, and electrical, physical and chemical characterization.<br />

01<br />

Nature paper – unraveling<br />

organic solar cells<br />

Starting from very practical questions about how organic<br />

solar cells function, we started unraveling the fundaments<br />

of this mechanism. As a result of the research, we<br />

now know which properties a polymer should have to<br />

optimally convert the sunlight to electrical energy. This<br />

knowledge could lead to an increase of the efficiency<br />

of polymer solar cells by one percent/year. Now around<br />

4-6%, it is assumed that an efficiency of 10% is needed to<br />

make polymer solar cells commercially profitable.<br />

This research has been recognized worldwide, and it was<br />

published in the prestigious magazine Nature Materials.<br />

It’s another example of a breakthrough realized thanks<br />

to the cross-fertilization between R&D and fundamental<br />

research.<br />

62<br />

Organic solar cells on glass


02<br />

Diamond-based<br />

DNA- and immunosensors<br />

Conductive, nanocrystalline diamond films can be used<br />

in label-free, real-time biosensors that can detect and<br />

characterize proteins and DNA fragments. In <strong>2009</strong>, we<br />

further explored this principle. We have, for example,<br />

developed a novel concept of patterning synthetic diamond<br />

layers on glass substrates into an array of (sub-)<br />

millimeter-sized working electrodes. These electrode<br />

spots can be functionalized individually with specific<br />

immunoglobins or probe-DNA sequences. This would<br />

enable screening patient samples for various marker<br />

molecules on a single lab-on-chip.<br />

03<br />

Lumoza off<br />

to a lightning start<br />

<strong>2009</strong> saw the start of Lumoza, a spinoff from imec,<br />

Hasselt University, and Artist Screen, a Belgian screenprinting<br />

company.<br />

Lumoza’s technology for large-area screen-printed<br />

electronics combines electroluminescent ink with a<br />

driver that controls the sequence and timing of animations.<br />

The result is an electroluminescent computer<br />

animation that can be printed, just like ink, on all<br />

kinds of surfaces, for example on a thin plastic foil. And<br />

afterwards, the animation can be folded, rolled up,<br />

bended or wrapped.<br />

04<br />

Improved lifetime<br />

for organic solar cells<br />

To make organic solar cells viable, their efficiency and<br />

lifetime has to be improved. For bulk heterojunction<br />

cells, both characteristics are strongly related with<br />

the morphology of the active layer of such solar cells,<br />

which is an intimate mixture of an electron donor and<br />

an electron acceptor material. In <strong>2009</strong>, we developed<br />

two approaches to stabilize the nanomorphology of<br />

this mixture. These could lead to an improvement of<br />

the cell lifetime with about an order of magnitude.<br />

One of the approaches makes use of a process of<br />

cross-linking which boils down to glueing together<br />

the polymer chains and organic components. For both<br />

fundamental approaches, we filed a patent application.<br />

Electroluminescent flexible advertisement printed by Lumoza<br />

63


pauL<br />

LaGasse,<br />

Professor imec’s associated lab<br />

at the University of ghent


The path the IC industry has followed, driven<br />

by Moore’s law, has been an immense success.<br />

Clever engineering, top-notch research, and<br />

collaboration between various disciplines have been<br />

tantamount in reaching that result.<br />

Other sectors have not followed the same speed of<br />

efficiency improvement. And for some, getting on<br />

track for a fast change and breakthrough results is getting<br />

rather urgent. Think of healthcare, for example.<br />

Organizing a cost-effective healthcare for an ageing<br />

and growing population will be a formidable challenge.<br />

Another challenge is organizing the use of energy and<br />

resources so that we no longer deplete the earth. Here<br />

we talk about, for example, organizing cost-effective,<br />

sustainable energy generation, smart grids, or sustainable<br />

and safe mobility.<br />

These challenges have a common denominator: they<br />

are extremely complex, and they call for solutions that<br />

are mass-produceable, cost-effective, and sustainable.<br />

One obvious solution is to leverage the expertise and<br />

engineering model of the electronics industry, which<br />

has over four decades of experience with exactly that<br />

kind of solutions.<br />

For our researchers and engineers, there is a chance<br />

here, a chance to make a difference, even in this globalizing,<br />

flattening world. We have a very good education<br />

and bright, versatile, well-trained people. We have the<br />

knowledge centers and R&D institutes that compete<br />

with the best in the world. We have our universities,<br />

of course. And there’s imec focusing on nanoelectronics;<br />

the VIB, which is building a world reputation in<br />

biotechnology; the IBBT, working on telecom and software<br />

technology, and last SIM, our youngest initiative<br />

grouping expertise in materials technology.<br />

So we have a unique research environment, situated<br />

in the heart of Europe. We can make a difference if<br />

we leverage that environment and have experts from<br />

various disciplines and centers cooperate.<br />

INTERVIEW WITh PauL LaGassE<br />

ASSOCIATED LAB AT THE UNIVERSITY OF GHENT<br />

CROSS-DISCIPLINARy<br />

COLLABORATION<br />

FOR A BETTER wORLD<br />

For 25 years now, imec is showing how it can be<br />

done. It started out as a collaboration effort in microelectronics,<br />

taking in people from various disciplines<br />

and working with various labs and experts, among<br />

which were the microelectronics labs at the University<br />

of Ghent. And from the start, the ambition was to<br />

be a world-player, and to offer solutions that were<br />

relevant for the industry. <strong>Imec</strong> even went as far as<br />

to organize collaborative, precompetitive innovation<br />

with companies, sharing the risks and rewards.<br />

But it doesn’t stop there: imec also leverages its<br />

exper tise in other domains, for example healthcare<br />

and energy. One notable example is of course the<br />

NERF initiative, a collaboration with the VIB and the<br />

Catholic University of Leuven. The ambition of NERF<br />

is to do world-leading research, unraveling the neuro nal<br />

circuitry of the human brain. Over time, this research<br />

could lead to relevant solutions for brain patho lo -<br />

gies.<br />

65


hIGhLIGhTs<br />

ASSOCIATED LAB AT THE UNIVERSITY OF GHENT<br />

HIgHLIgHTS - The main expertise of imec’s associated lab at the University of ghent<br />

is photonics, systems integration, and communication technology. In photonics, the<br />

lab presented breakthrough results, working towards silicon-based photonic ICs for<br />

high-speed computation and communication. Another domain – where the ghent<br />

lab captures the public imagination – is stretchable, washable, biocompatible<br />

electronics, electronics that can be integrated in clothing, for example.<br />

Flexible ultrathin chip package<br />

66<br />

01<br />

Breakthrough for<br />

silicon-based all-optical ICs<br />

The April <strong>2009</strong> issue of the premier scientific magazine Nature<br />

Photonics published the first experimental proof of all-optical<br />

ultra-fast communication signal processing with silicon-based<br />

devices for transmission speeds above 100Gbit/s. The paper<br />

results from the collaboration between imec and its associated<br />

laboratory at the University of Ghent, the University of<br />

Karlsruhe (Germany), Lehigh University (USA), and ETH Zürich<br />

(Switzerland). The achievements are a key step towards<br />

developing complex silicon-based photonic ICs.


Stretchable LED matrix<br />

02<br />

Ultra-thin chip embedding<br />

for wearable electronics<br />

<strong>2009</strong>, imec and its associated laboratory at the University<br />

of Ghent presented a new 3D integration process<br />

enabling flexible electronic systems with a thickness<br />

of less than 60 micrometer. This ultra-thin chip package<br />

(UTCP) technology allows integrating complete<br />

systems in a conventional low-cost flex substrate. This<br />

paves the way for low-cost, unobtrusive wearable<br />

electronics for e.g. wearable health and comfort monitoring.<br />

For the integration, the chip is first thinned down to<br />

25µm and embedded in a flexible ultra-thin chip package.<br />

Next, the package is embedded in a standard<br />

double-layer flex printed circuit board (PCB) using<br />

standard flex PCB production techniques. After embedding,<br />

other components can be mounted above<br />

and below the embedded chip, leading to high-<br />

density integration.<br />

03<br />

Ten gigabit per second<br />

internet access for all<br />

imec’s associated lab at the University of Ghent is also<br />

active in designing high-speed electronics for future<br />

optical fiber networks. Such passive optical networks<br />

(PONs) will replace copper telephone or cable television<br />

wiring, and bring gigabit-per-second (Gbps)<br />

broadband access to residential subscribers.<br />

In <strong>2009</strong>, the Ghent lab designed a burst-mode 10Gbps<br />

chipset for an ultra-high capacity integrated access<br />

and metro network. This next-generation PON can<br />

reach 100km, and can serve up to 16,384 subscribers<br />

at 10Gbps. The network was successfully demonstrated<br />

within the EU-funded FP6 IST project PIEMAN and<br />

the chipset was presented in an invited ECOC <strong>2009</strong><br />

paper. Large-scale deployment of broadband fiber access<br />

networks will stimulate the economy by offering<br />

new business opportunities, and will contribute to a<br />

sustainable economy by promoting home working and<br />

by allowing the transportation of data rather than data<br />

carriers or people.<br />

04<br />

Optical forces<br />

revealed<br />

<strong>Imec</strong> and the University of Ghent have demonstrated<br />

nanophotonic repulsive forces. Using advanced fabrication<br />

technologies, including deep ultraviolet lithography<br />

and critical-point-drying, the researchers created<br />

two parallel waveguides on a silicon-on-insulator<br />

chip. These waveguides are freestanding, acting as movable<br />

strings. By sending laser light through both waveguides<br />

they generated optical forces. Depending on<br />

the spatial distribution of the light (both in amplitude<br />

and phase) the strings exerted attracting or repulsing<br />

forces on each other.<br />

The repulsive force – never before demonstrated<br />

– makes this experiment of fundamental scientific<br />

importance. The detailed experimental results were<br />

published in the August issue of the premier scientific<br />

journal Nature Nanotechnology.<br />

67


herman<br />

maes,<br />

Senior<br />

Vice-President<br />

Industrialization<br />

and Training


TRAININg<br />

AND SERVICES<br />

FOR THE IC DESIgN COmmUNITy<br />

<strong>Imec</strong>’s expertise is highly sought after in today’s IC<br />

community, where IC technology, design methods<br />

and tools evolve fast. An environment also with<br />

shortening time-to-market cycles and the move to<br />

more complex heterogeneous systems. With our services,<br />

we target universities and polytechnic schools,<br />

companies worldwide, and imec’s own programs and<br />

projects. Because of the scale of today’s IC industry,<br />

universities and starting companies no longer have access<br />

to all the leading tools, technologies, and players.<br />

We close that gap, pooling resources and offering<br />

state-of-the-art services.<br />

Our training center offers a well-balanced portfolio<br />

of advanced training programs, complementary to<br />

the academic offering. We continuously adapt to the<br />

evolution of the state-of-the-art, teaching the latest<br />

in IC design methodologies and technology. More and<br />

more, we also offer web-based training, complementing<br />

the traditional delivery formats.<br />

But sometimes, training alone isn’t enough for companies<br />

or academia to design their own ICs. Advanced<br />

design can be so specialized and time-intensive that<br />

they would rather outsource part of the job. That’s<br />

where imec’s design and ASIC services come into play.<br />

Through our design services, research groups get access<br />

to a team of highly specialized IC designers, using<br />

the most advanced EDA tools for the design of deep<br />

sub-micron ICs and complex heterogeneous systems.<br />

And with our ASIC services (application-specific integrated<br />

circuit), we fill in the last block from design to<br />

IC fabrication: we provide a prototyping and smallvolume<br />

production service. We have agreements with<br />

major foundries, where we can participate in multiproject<br />

wafer (MPW) runs. These combine designs<br />

from several customers (universities or private companies)<br />

on a single mask set, offering them a cost-effective<br />

way to fabricate high-quality, low-volume ICs. This<br />

can also include a place & route service when needed.<br />

And as an extra service, before we add our customer’s<br />

designs to the runs, we check the designs for errors.<br />

With our state-of-the-art-tools, we can verify designs<br />

up to a level where we can target a first-time-right<br />

fabrication.<br />

INTERVIEW WITh hERMaN MaEs<br />

IMEC TRAINING AND SERVICES<br />

Mini@sic is an ASIC service where we go one step<br />

further. With the help of the EU (FP7 program Europractice<br />

4), we offer this service to European universities<br />

and research institutes, as a way to stimulate<br />

them to make advanced designs and prototypes.<br />

What we do is subdivide the normal minimum area for<br />

prototypes into smaller blocks that, with the help<br />

of Europractice, are affordable for our academic customers.<br />

Our second mission is to stimulate innovation in our<br />

home region Flanders. One way to do that is to help<br />

set up consortia and communities around multidisciplinary<br />

research topics. We have, for example, successful<br />

communities focused on multimedia, wireless<br />

communication, and heterogeneous systems design<br />

and integration. These communities enable networking,<br />

knowledge dissemination, collaborative projects,<br />

and – in a longer run – technology transfer from imec<br />

to the local industry and research centers.<br />

69


hIGhLIGhTs<br />

IMEC TRAINING AND SERVICES<br />

HIgHLIgHTS - <strong>Imec</strong>’s unit Industrialization and Training has a double mission. First, we<br />

organize and coordinate a broad range of services and training programs in IC design.<br />

And second, we introduce and transfer imec’s expertise to the local Flemish industry.<br />

01<br />

Mini@sic designs<br />

In the frame of mini@asic, in <strong>2009</strong>, 545 prototype ICs<br />

were processed. Of these, 305 belonged to European<br />

universities, 87 to European research institutes, and 153<br />

to non-European universities and research labs.<br />

Through the mini@sic principle, and with the financial<br />

help of the EU (project Europractice IC4), the price of<br />

a prototype IC fitting in one small wafer block is in the<br />

order of 2,000 euro in 0.18µm technology, and 7,000<br />

euro in 90nm technology.<br />

02<br />

MEMS designs<br />

In <strong>2009</strong>, the first 13 MEMS designs were submitted for<br />

prototyping.<br />

70<br />

03<br />

<strong>Imec</strong> training center:<br />

Highlights from the <strong>2009</strong><br />

training program:<br />

- Analysis Techniques, a detailed overview covering<br />

electron techniques (SEM, EPMA, TEM, AES, XPS), ion<br />

techniques (RBS and SIMS), and atomic force microscopy.<br />

- Analog Design Essentials, covering switched capacitor<br />

filters, distortion in elementary transistor circuits, continuous<br />

time filters, comparators.<br />

The imec training center has two platforms for on-line<br />

knowledge exchange. One is for imec employees, available<br />

through imec’s intranet; a second platform targets<br />

a broader audience and is available through www.mtconline.be.<br />

This website contains course material for Flemish<br />

high schools and universities, for regional groups<br />

and technology projects (such as the Flemish multimedia<br />

community and the NanoSoc project), for European projects<br />

(such as Europractice, CarbOnChip, and IDESA), and<br />

for the Xilinx university program. The imec training center<br />

is also offering e-learning through streaming video:<br />

more than 500 seminars and courses have been recorded<br />

and are now available on-line. Also, more than 100<br />

hours of web-based training are available. Most of the<br />

web-based material was translated into Chinese and was<br />

transferred to a Chinese training center.


Europractice multiproject wafer, processed<br />

by On Semiconductor on 0.5µm technology<br />

04<br />

Some notable events and collaborations for <strong>2009</strong><br />

- Solar cell event – During this event, imec presented its solar cell research and the impact on the Flemish industry.<br />

This was also an occasion for all players involved to network and to exchange information.<br />

- Biomedical electronics event – This event included an overview of imec’s biomedical research programs and interactive<br />

sessions centered around 20 technology demonstrators, from a lab-on-chip to a sleep monitoring system.<br />

- Wireless community – imec, in cooperation with IBBT (Interdisciplinary Institute for Broadband Technology), coordinates<br />

a community of companies, research centers and universities that share and discuss public technical information<br />

in the domain of wireless communication (www.wireless-community.be).<br />

- Electronic Design & Manufacturing (EDM) program – imec and Sirris (Flemish research center for the technology<br />

industry) offer an independent knowledge- and network forum to the industry. In collaboration with industrial<br />

EDM partners, design- and qualification guidelines as well as matching tools will be developed and made available.<br />

05<br />

ASIC manufacturing and design services<br />

With its imec ASIC services, imec offers an ASIC design, prototyping and small-volume production service. First, the<br />

design services team helps customers to make their ASIC production-ready. For more than 550 European universities<br />

and 100 research institutes, and with support of the FP7 project Europractice IC4, imec provides technical support.<br />

Next, the designs of several customers – universities or private companies – are collected on a single mask set and<br />

manufactured on a small volume of wafers. These multi-project wafer (MPW) runs allow sharing the expensive mask<br />

costs. In <strong>2009</strong>, customers submitted around 550 designs to MPW runs through imec.<br />

71


Jo<br />

decuyper,<br />

Director of<br />

RVO-Society<br />

VZw


BRINg SCIENCE TO LIFE,<br />

yOUR LIFE!<br />

RVO-Society wants to bring young people into<br />

contact with science and technology. We do<br />

this in a way that brings the living world into<br />

the classrooms, and that allows kids and youngsters<br />

to discover and foster their talents. Our vision is<br />

that this discovery will allow them to make positive<br />

choices, and learn them to take up technical challenges<br />

with lots of enthusiasm, throughout their professional<br />

and private lives.<br />

RVO-Society was set up in 2000 in memory of imec’s<br />

founder Professor Baron Roger Van Overstraeten. We<br />

have kept this strong link with imec: we are housed<br />

at imec, welcoming scores of pupils and teachers and<br />

showing them around on the imec campus. And because<br />

our vision – bringing science and technology to<br />

young people – is so broad, we have focused it down<br />

to the subjects that are also studied at imec: most notably<br />

micro- and nano-electronics.<br />

We are a team of 10 enthusiasts. A visionary and dedicated<br />

team, but a small team nonetheless. We can only<br />

make a difference if we can influence what is taught in<br />

the schools, and how it is taught. So when the previous<br />

Flemish government drew up the education plans<br />

for teaching technology in the 21 st century, imec and<br />

RVO-Society participated. We helped shaping those<br />

plans, based on the idea that youngsters should be<br />

able to use and understand technology with ease, and<br />

to fit it in the bigger picture of science.<br />

But we didn’t only draw up plans; we also helped implementing<br />

them. The trick here is to involve everyone:<br />

the young people, but also their teachers, and the<br />

people responsible for managing the schools. For the<br />

youngsters, we develop educational packages; we call<br />

them technology treasure chests. We go to great lengths<br />

to make these as user-friendly as possible, and to<br />

incorporate the latest didactic insights.<br />

But to get these packages in the classrooms, we also<br />

have to make the teachers enthusiastic. Almost none<br />

of our teachers have received formal training to work<br />

with technology in the classroom. Our strategy then<br />

INTERVIEW WITh Jo dEcuyPER<br />

RVO-SOCIETY VZW<br />

is to offer the packages to the school, with a teacher<br />

training included. This way, we can make the teachers<br />

comfortable with the packages, giving them time to<br />

try things out and to come back to us with questions<br />

and feedback.<br />

Now if the kids and the teachers like using the packages,<br />

then they are likely to give positive feedback to<br />

the school’s management. These directors, who may<br />

have had their doubts about putting technology on<br />

the school’s agenda, are now convinced by their pupils<br />

and teachers to add more technology to the school<br />

program!<br />

For us, young people are incredibly valuable. We want<br />

to help them foster and develop their talents, their<br />

individual “treasure within”. Not necessarily to direct<br />

them towards engineering, but to make them stronger,<br />

happier and more creative people. We do this by<br />

bringing technology into their classrooms; technology<br />

as a way to engage these young people actively and<br />

individually.<br />

73


hIGhLIGhTs<br />

RVO-SOCIETY AND IMEC OUTREACH<br />

HIgHLIgHTS - Scientific literacy and popular scientific involvement are essential to solve many<br />

of the challenges in today’s society. Therefore, imec’s outreach group and RVO-Society aim<br />

to improve the interaction between the scientific community and the society at large. They<br />

do so by setting up projects and interdisciplinary collaborations, many in collaboration with<br />

RVO-Society.<br />

01<br />

Solar greetings<br />

from Olin College<br />

February <strong>2009</strong>, RVO-Society, imec and Franklin W. Olin<br />

College of Engineering (Boston, US) signed a longterm<br />

agreement. Olin’s educational approach is based<br />

on triggering interest and fascination in technology<br />

through hands-on experience; interest that will lead<br />

their students to become engineers that help create a<br />

more responsible, sustainable society.<br />

As part of this agreement, imec welcomed three<br />

students from Olin College for an internship. The<br />

students spent their summer designing an educational<br />

demonstrator for solar energy generation. The<br />

result illustrates the photovoltaic effect through an<br />

appealing mechanical analogue. It was developed in<br />

collaboration with students of industrial design at<br />

Howest (Polytechnic high school in West-Flanders,<br />

Belgium).<br />

74<br />

02<br />

At school,<br />

building a village<br />

RVO-Society, imec, and CEGO (centre for experiencebased<br />

education) bring entrepreneurship and technology<br />

to schools.<br />

One class of the participating school takes the initiative<br />

to build a functioning model of a village. First the<br />

kids work out the concept: how will the village look<br />

like? Then they plan, make a budget, and engage partners<br />

(other classes, teachers, parents, companies). Next<br />

they start building, which involves discussions, project<br />

oversight, managing many changes … And last, they<br />

have to present their project.<br />

This type of project engages young people individually.<br />

It fosters their creativity in a lot of domains. And<br />

it learns them invaluable skills, such as collaborating,<br />

compromising, presenting, planning …<br />

NANO VIEw exhibition - Leuven Academy of Art (SLAC)<br />

03<br />

Cera-foundation<br />

awards<br />

The Cera-foundation awards are an initiative of the<br />

finan cial group Cera and RVO-Society. The goal is to stimulate<br />

engineering students to use their expertise for<br />

the benefit of non-profit organizations. Joost Roels and<br />

Pieterjan De Putter, two students of electro mechanical<br />

engineering at the Group T polytechnic, received the<br />

<strong>2009</strong> prize; they designed a safe and user-friendly system<br />

for fastening wheelchairs inside vehicles.


04<br />

Peter<br />

and the Wolf<br />

In collaboration with the orchestra of the Belgian armed<br />

forces, RVO-Society has set up a project around<br />

Sergei Prokofiev’s Peter and the Wolf. We have developed<br />

a package introducing the story and highlighting<br />

the technology of sound. A few simple building blocks,<br />

for example, with which the kids can make their own<br />

speakers for an mp3 player. And as an apotheosis to<br />

the project, they go to the theatre, where they get to<br />

see a live performance of Peter and the Wolf.<br />

07<br />

<strong>Imec</strong> outreach<br />

- <strong>Imec</strong> evening tour around the imec campus.<br />

05<br />

2010 – 10 years<br />

of RVO Society<br />

As part of our 10 th anniversary, RVO-society organizes<br />

a host of activities. Activities in the schools, but also<br />

outside the classroom. This is because we also want to<br />

engage the parents of our young people. We want to<br />

convince them of the value of technology and science.<br />

The value that it may have in the lives of their kids,<br />

and the prospects that it will offer them once they go<br />

out looking for jobs.<br />

- Collaboration with Howest (Polytechnic high school in West-Flanders, Belgium); students from Howest build<br />

prototype designs based on imec’s technology.<br />

- The exhibition NANO VIEW, set up by the students of Leuven’s Academy of Art (SLAC). The exhibition shows<br />

the world of nanotechnology through the eyes of 800 youngsters.<br />

06<br />

RVO-Society and your<br />

corporate responsibility<br />

You have a corporate social responsibility program<br />

focusing on reaching youngsters in their classrooms?<br />

RVO-Society is ready to be your partner. We bring our<br />

expertise to the table to help implement your valuable<br />

educational project.<br />

75


Ludo<br />

deferm,<br />

Executive<br />

Vice-President<br />

Business<br />

Development


NEw RESEARCH DOmAINS CALL FOR<br />

NEw BUSINESS<br />

STRATEgIES<br />

Since its start, now 25 years ago, imec has grown into<br />

a world player in R&D for ICT. We have built a strong<br />

expertise through our unique infrastructure, our extensive<br />

expertise, our top researchers, and of course<br />

our collaboration with important industrial players. But<br />

these last years saw an important shift in the microelectronics<br />

industry. A consolidation has caused companies<br />

to change their business model. Some stopped<br />

investing in their own fabs and went fablite; others<br />

have chosen a fabless model. We at imec have extended<br />

our program offering and made it also attractive<br />

for the fablite and fabless companies. The net result is<br />

that through these changes, our collaboration with the<br />

IC industry has further strengthened.<br />

In addition, we have broadened our research activities<br />

to new domains, such as healthcare. And we have<br />

started additional programs on energy. We know, of<br />

course, that the reputation we have built in ICT will<br />

not automatically follow us to those new domains. If<br />

we want to make a difference in these domains, we<br />

will have to come up with excellent results in biomedical<br />

electronics and energy technology. And we will<br />

have to communicate these to the right channels, gearing<br />

our external communication to the new domains,<br />

and putting excellent and scientifically underpinned<br />

results in the news. This in turn will stimulate our<br />

scientists to keep reaching for ever higher goals and<br />

ever better results.<br />

Our aim is to close more R&D collaboration agreements<br />

with medical, pharmaceutical, and energy companies.<br />

To do this effectively we have to gain insight in<br />

the strategy of those companies, which will allow us<br />

to adapt our collaboration models to their needs. We<br />

know that what these companies expect to gain from<br />

an R&D collaboration can strongly differ from what ICT<br />

companies expect.<br />

For research into chip scaling, an important differentiator<br />

is our extensive and expensive state-of-the-art infrastructure.<br />

In the medical and energy sectors, a good<br />

infrastructure is still important, but not so important<br />

that it sets us apart from other R&D centers. The investment<br />

budgets to build the infrastructure needed<br />

for R&D in these sectors are not so high.<br />

Our biggest differentiator will be the combination of<br />

the knowledge we have gained, our excellent scientific<br />

results, and the patents that we have filed. That’s<br />

INTERVIEW WITh Ludo dEfERM<br />

BUSINESS DEVELOPMENT<br />

where we can differentiate ourselves from other R&D<br />

centers, and where we can convince companies that<br />

are active on a world-scale to collaborate with us.<br />

Another difference with the ICT world is that the companies<br />

from the energy and life sciences are not used<br />

to working in a model of open innovation. We have to<br />

look how we can adapt our model, which is accepted<br />

worldwide as a good way to do cost-efficient R&D in<br />

ICT, to a model that is interesting for those sectors<br />

where IP sharing is not a common practice. For those<br />

companies, the protection of IP carries more weight,<br />

and the research involved is closer to industrial applications<br />

and products. So for them, our strategy on IP<br />

and patents will be more important.<br />

Next to our work in R&D programs, we also want to be<br />

a solution provider, using our expertise and network<br />

to look for solutions for medium-term needs of companies.<br />

To do this successfully, the fact that we have<br />

an extensive patent portfolio is an important plus.<br />

Growing that portfolio even further is a cornerstone<br />

of our strategy, next to aligning it with imec’s business<br />

lines and programs. For the new domains that we are<br />

engaged in - energy and life sciences - we have to aim<br />

77


Ludo deferm<br />

NEw RESEARCH DOmAINS<br />

CALL FOR NEw BUSINESS<br />

STRATEgIES<br />

for a broad and deep portfolio of patents that are<br />

economically relevant.<br />

<strong>Imec</strong> is a breeding ground for spin-off companies. Our<br />

31 spin-offs to date make for a successful ecosystem<br />

with a lot of collaboration with Flemish companies,<br />

and with an important economic return to Flanders.<br />

For new start-ups, especially those in a pre-seed phase<br />

that still have to find financing, the current economical<br />

situation is challenging. Investment companies are<br />

more critical for start-ups; they look very thoroughly<br />

at the proposed budgets before deciding to invest.<br />

Also, they expect more return from start-ups, and they<br />

more and more shy away from the ICT sector. The<br />

combination of these factors makes that people with<br />

valuable ideas have a difficult time starting companies,<br />

and that valuable ideas are left on the drawing board.<br />

<strong>Imec</strong> has taken initiatives to stimulate the public interest<br />

in start-ups. It would, for example, be interesting<br />

to organize additional help for start-ups in a pre-seed<br />

phase. For this, imec and other Flemish players push<br />

for establishing a new incubation organization in Flanders.<br />

This would be an agency connected to a fund, an<br />

agency that can tap into the knowledge of all research<br />

centers, and that would be available for pre-seed financing<br />

of technology-oriented start-ups that are not<br />

mature enough to convince venture capital or business<br />

angels. This will give a better chance to those start-ups<br />

that are promising but that cannot yet prove a returnon-investment.<br />

78


HIgHLIgHTS - Only by joining forces, we will be able to find solutions for today’s<br />

technological challenges and for the growing R&D costs. <strong>Imec</strong> collaborates with companies,<br />

research institutes, and universities from around the world. Through various collaboration<br />

schemas, imec’s partners have access to imec’s expertise.<br />

01<br />

International success<br />

for imec’s research into<br />

solar cell technology<br />

The launch, in <strong>2009</strong>, of imec’s industrial affiliation<br />

program (IIAP) on crystalline silicon solar cells proved a<br />

great success. The program was joined by energy powerhouses<br />

GDF-Suez and Total, solar cell producers<br />

Schott Solar and Photovoltech, and tool and material<br />

suppliers such as MEMC Electronic Materials Inc.,<br />

Leybold Optics Dresden GmbH, Roth & Rau AG, and<br />

Mallinckrodt Baker B.V. Next to the IIAP, imec also<br />

signed bilateral agreements, for example with Kaneka<br />

Corporation, an important Japanese producer of chemicals<br />

and solar cells, and with BPSolar. <strong>Imec</strong> has 25<br />

years of experience in solar cell research, experience<br />

which it now leverages to commercialize its photovoltaics<br />

research on a larger scale.<br />

02<br />

<strong>Imec</strong> celebrates 25 years<br />

of research with CEOs and<br />

experts of top companies<br />

For its 25 th anniversary, imec organized an academic<br />

colloquium. Speakers from over the world presented<br />

their vision on the social, scientific, technological, and<br />

industrial changes in the 21 th century. In <strong>2009</strong>, imec also<br />

organized a festive edition of the yearly <strong>Imec</strong> Technology<br />

Forum (ITF). At the ITF, industrial experts and CEOs<br />

from world-leading ICT companies convened to discuss<br />

innovation in nanoelectronics. They expressed their<br />

appre ciation for the results that imec has reached in<br />

25 years of R&D.<br />

ITF visited by Prince Filip of Belgium<br />

hIGhLIGhTs<br />

BUSINESS DEVELOPMENT<br />

03<br />

<strong>Imec</strong><br />

launches Pepric<br />

and Lumoza<br />

Pepric officially started in April <strong>2009</strong>. The new company<br />

develops systems for molecular visualization based on<br />

magnetic nanoparticles. By having these particles selectively<br />

bind to certain molecules in the human body, and<br />

then visualizing the pattern, it is possible to follow the<br />

biological processes involving those molecules. That way,<br />

for example, the effect of particular medicines can be<br />

followed and visualized directly. Pepric’s aim is to further<br />

develop this technology and commercialize it for pharmaceutical<br />

applications such as drug development and<br />

preclinical studies.<br />

<strong>2009</strong> also saw the start of Lumoza, a new spin-off<br />

company launched by the institute of materials research<br />

of Hasselt University (IMO), imec, and screen-printing<br />

company Artist Screen. Lumoza prints electroluminescent<br />

computer animations on all kinds of sur faces, for<br />

example on a thin plastic foil. The new company starts<br />

out by targeting the advertising and packaging markets,<br />

both on the lookout for new technologies. Further out,<br />

many other applications are possible, for example in<br />

the domain of sustainable building (luminous ceilings,<br />

furniture, signs ...).<br />

79


WaLter<br />

fLuit,<br />

Senior Vice-President<br />

Safety, Buildings and<br />

Quality


BUILDINg FOR<br />

ImEC’S FUTURE<br />

In its 25 years, imec has built a research campus that<br />

can stand the comparison with any, worldwide. Our<br />

researchers and partners have all the infrastructure<br />

and equipment they need to do advanced research in<br />

their domain.<br />

We now have 24,400m² of office space, laboratories,<br />

training facilities, and technical support rooms. Our<br />

showpieces are the two cleanrooms that run a semiindustrial<br />

operation, 24 hours a day, 7 days a week, all<br />

year round.<br />

There is our 300mm cleanroom, in which we do research<br />

and development on (sub-)22nm process technology.<br />

In <strong>2009</strong>, we made a functional 22nm SRAM<br />

cell – the world’s smallest – making use of the most<br />

advanced process steps such as EUV lithography, and<br />

the commitment and accuracy of our cleanroom personnel.<br />

Then there is the 200mm cleanroom, used for research<br />

and development on heterogeneous integration. It sup-<br />

ports semiconductor manufacturing processes with<br />

added functionality, such as sensors, actuators, and<br />

MEMS and NEMS. <strong>Imec</strong>’s CMORE services are run from<br />

this cleanroom, where we are capable of producing<br />

industry-compatible, highly integrated prototypes and<br />

small-volume products.<br />

In February <strong>2009</strong>, we started building an extension, an<br />

extra 1,200m², for our 300mm cleanroom. This project<br />

is on schedule and will be ready mid-2010. The extension<br />

will support heavier and larger equipment; it is<br />

designed to be ready for 450mm equipment when it<br />

becomes available.<br />

The first equipment for this extension is scheduled to<br />

arrive in May. And by the end of 2010, the preproduction<br />

EUV tool from ASML will be installed; it is planned<br />

to fully function in Q1 of 2011.<br />

In parallel, we are also building new lab space to facilitate<br />

and extend imec’s research on silicon and organic<br />

solar cells and on biomedical electronics. These labs<br />

will take up another 1,600m², including space for the<br />

new NERF initiative on brain research.<br />

INTERVIEW WITh WaLTER fLuIT<br />

INFRASTRUCTURE<br />

If you visit imec, you’ll notice that we have refurnished<br />

our entrance hall. We’ve taken care to make it<br />

an agreeable space where visitors feel welcome and<br />

where they get a glimpse of imec’s research aspirations<br />

and domains.<br />

But the story doesn’t end there, we have further<br />

expansion plans. <strong>2009</strong>, we organized an architecture<br />

competition to design a new office building. A jury,<br />

including Flanders’ official building master, has se -<br />

lec ted the entry of the Austrian architect’s firm<br />

Baumschlager-Eberle. The new building will house up<br />

to 450 people, and will have space for an auditorium<br />

and labs with light equipment. The final decision<br />

whether and when to construct the office building<br />

will be taken mid-2010.<br />

All these plans and decisions fit in a master plan for<br />

the future of imec and the nearby science campus of<br />

the Catholic University of Leuven. This master plan<br />

guarantees us that we can keep growing our research<br />

facility to match our aspirations for worldwide excellence.<br />

81


hIGhLIGhTs<br />

INFRASTRUCTURE<br />

HIgHLIgHTS - <strong>Imec</strong> offers its employees and resident partners all the infrastructure and<br />

equipment they need for advanced research in their domain. In this way, imec creates<br />

an environment in which researchers can focus on what really drives them: scientific<br />

exploration.<br />

01<br />

300mm-compatible<br />

cleanroom<br />

A key enabler for our advanced scaling R&D remains<br />

materials research. Therefore, in <strong>2009</strong>, we continued<br />

extending our capabilities with advanced deposition<br />

tools (metal PVD, metal CVD, III-V MoCVD, direct<br />

plating) as well as upgrading several dry etch chambers.<br />

82<br />

- Ballroom type of cleanroom, 3,200m²<br />

class 1,000 area, of which 2,200m² rests on<br />

a vibration-controlled waffle table.<br />

- Silicon pilot line for (sub-)32nm.<br />

- CmOS processing on 300mm wafers.<br />

- Semi-industrial operation – 24/24 and 7/7,<br />

process monitoring, short cycle time.<br />

- Unique lithography cluster centered around<br />

ASmL equipment (including ASmL’s EUV<br />

alpha tool).<br />

- Advanced equipment and preproduction tools.<br />

02<br />

200mm-compatible<br />

cleanroom<br />

In <strong>2009</strong>, we integrated all processes for heterogeneous<br />

integration in the 200mm line. This includes bonding,<br />

grinding, thick Cu plating, deep Si etch, and litho with<br />

back-to-front alignment. In 2010 the focus will be on<br />

integrating the assembly activities including die-to-die,<br />

die-to-wafer, and wafer-to-wafer bonding.<br />

Also in <strong>2009</strong>, we extended the GaN-on-Si capabilities<br />

for power devices and LEDs. In 2010 we plan to install<br />

a new MoCVD deposition tool for depositing GaN<br />

layers on 200 mm Si substrate.<br />

- Bay and chase type of cleanroom, totaling<br />

5,200m² of which 1,750m² is a class 1 area.<br />

- Silicon pilot line with a 130nm TLm CmOS baseline<br />

process and with mEmS process modules<br />

on 200mm wafers.<br />

- Processing on Si and on alternative substrates<br />

such as III-V materials, organic semiconductors,<br />

Sige …<br />

- 3D-IC and 3D-wLP stacking IC baseline flows.<br />

- Processes for thermophotovoltaic cells and<br />

high-efficiency solar stacks<br />

- Semi-industrial operation – 24/24 and 7/7,<br />

process monitoring, short cycle time.


Process step in imec’s O-line<br />

03<br />

Unique expertise and<br />

tools for GaN processing<br />

For its R&D, imec can rely on state-of-the-art GaN epiwafers<br />

that are fabricated in-house. We have unique<br />

in-situ growth monitoring, extended material characterization,<br />

and can evaluate materials through device<br />

per formance assessments.<br />

State-Of-the-art labOratOrieS<br />

- Ultralarge-scale-integration design<br />

methodology lab<br />

- microsystems lab<br />

- Ultra-clean processing lab<br />

- Lab for material and device characterization<br />

- Lab for physico-chemical-analysis<br />

- Lab for automatic device and circuit<br />

measurement<br />

- Organic electronics lab<br />

- Packaging and testing-equipment lab<br />

- Reliability lab<br />

- RF lab<br />

- Lab for the development of biosensors<br />

- Lab for the development of neuro-electronic<br />

hybrid systems<br />

04<br />

Organic<br />

photovoltaics line<br />

<strong>2009</strong>, imec has set up an integrated processing facility<br />

for organic photovoltaics, the O-line. The facility is<br />

equipped with all processing steps needed for the<br />

fabrication and characterization of state-of-the-art<br />

organic solar cells. This will allow imec to scale up<br />

its device size to 15x15 cm², and to have a pre-pilot<br />

enviro n ment to develop a complete inline production<br />

process for organic photovoltaics.<br />

In addition, in <strong>2009</strong>, imec further extended its pilot line<br />

for silicon solar cells.<br />

83


<strong>2009</strong> aNNuaL accouNTs<br />

IMEC<br />

01<br />

bALANCE SHEET <strong>2009</strong><br />

assets<br />

fIxED ASSETS 189,814,609<br />

Tangible fixed assets 178,809,579<br />

Land and buildings 58,039,108<br />

Plant, machinery and equipment 94,226,153<br />

Furniture and vehicles 792,815<br />

Leased assets<br />

Assets under construction 25,751,501<br />

financial fixed assets 11,005,030<br />

Investments accounted<br />

for using the equity method 5,067,769<br />

Other enterprises 5,937,261<br />

Shares held, participations 5,143,054<br />

Accounts receivable 794,207<br />

CURRENT ASSETS 109,319,498<br />

accounts receivable after one year 77,138,154<br />

Accounts receivable, trade debtors 73,271,096<br />

Other accounts receivable 3,867,058<br />

Investments 15,081,013<br />

Other investments 15,081,013<br />

cash 12,958,331<br />

deferred charges 4,142,001<br />

totaL assets 299,134,107<br />

84<br />

LiabiLities<br />

in euro<br />

CAPITAL AND RESERVES 146,132,133<br />

consolidated reserves 115,210,370<br />

Negative consolidated differences 596,193<br />

Translation differences -51,741<br />

Investment grants 30,377,311<br />

PROVISIONS AND DEfERRED TAxES 5,305,192<br />

Provisions for liabilities and charges 5,305,192<br />

Major repairs and maintenance 2,500,000<br />

Other liabilities and charges 2,805,192<br />

ACCOUNTS PAyAbLE 147,696,782<br />

accounts payable after one year 34,405,220<br />

Leasing debts<br />

Credit institutions 34,405,220<br />

Trade debts<br />

accounts payable within one year 87,834,801<br />

Current portion of long term debt<br />

Short term financial debts – credit institutions 4,315,596<br />

Trade debts 33,234,282<br />

Suppliers 33,234,282<br />

Advances received on contracts in progress 10,461,619<br />

Taxes, remunerations and social security 16,766,987<br />

Taxes 2,616,425<br />

Remunerations and social security 14,150,561<br />

Other liabilities 23,056,317<br />

deferred income 25,456,762<br />

totaL LiabiLities 299,134,107


02<br />

INCOME STATEMENT <strong>2009</strong><br />

in euro<br />

OPERATING INCOME 274,750,181<br />

Revenue from contract research 212,129,712<br />

Miscellaneous income (charged-on costs, contribution in kind, conferences, ...) 9,914,323<br />

subsidies from the flemish Region 44,730,000<br />

subsidies from the dutch Government 7,976,146<br />

OPERATING CHARGES -262,416,954<br />

Goods for resale, raw materials and consumables 44,324,470<br />

services and other goods 53,384,736<br />

Remunerations, social security and pension costs 98,795,816<br />

depreciation, write-offs and provisions 64,891,161<br />

other operating costs 1,020,772<br />

OPERATING RESULT 12,333,227<br />

Interest charges -1,820,182<br />

other financial charges and income 1,588,927<br />

Exceptional charges and income 726,717<br />

Taxes -121,868<br />

PROfIT Of THE yEAR 12,706,821<br />

INVESTMENTS 38,123,527<br />

85


oRGaNIzaTIoN<br />

IMEC<br />

ORgANIZATION<br />

01<br />

bOARD Of DIRECTORS*<br />

DIRECTORS<br />

A. De Proft - CHAIRmAN<br />

A. Oosterlinck - VICE-CHAIRmAN<br />

G. Van Acker, B. Boone, P. Schelkens,<br />

K. Maex, L. Moens, P. Stoffels, T. Leysen,<br />

G. Declerck<br />

SECRETARy<br />

A. Vinck<br />

INVITED<br />

L. Van den hove<br />

J. Cornelis, A. Soete<br />

02<br />

AUDIT COMMITTEE*<br />

DIRECTORS<br />

A. Oosterlinck - CHAIRmAN<br />

A. De Proft, G. Van Acker, B. Boone<br />

INVITED<br />

L. Van den hove, A. Vinck, A. Soete<br />

03<br />

REMUNERATION<br />

COMMITTEE*<br />

DIRECTORS<br />

A. De Proft - CHAIRmAN<br />

A. Oosterlinck, G. Van Acker, L. Moens<br />

INVITED<br />

L. Van den hove, H. De Neve<br />

* status Q1 2010<br />

86<br />

04<br />

ExECUTIVE bOARD*<br />

L. Van den hove - PRESIDENT & CHIEF ExECUTIVE OFFICER<br />

L. Deferm - ExECUTIVE VICE-PRESIDENT<br />

H. De Neve - ExECUTIVE VICE-PRESIDENT<br />

A. Vinck - ExECUTIVE VICE-PRESIDENT AND CHIEF FINANCIAL OFFICER<br />

G. Declerck - ExECUTIVE OFFICER AND mEmBER OF THE BOARD<br />

OF DIRECTORS OF ImEC INTERNATIONAL - INVITED<br />

05<br />

MANAGEMENT TEAM*<br />

S. Biesemans - VICE-PRESIDENT PROCESS TECHNOLOgy<br />

R. Cartuyvels - VICE-PRESIDENT PROCESS TECHNOLOgy<br />

J. De Boeck - SENIOR VICE-PRESIDENT SmART SySTEmS<br />

AND ENERgy TECHNOLOgy<br />

R. De Keersmaecker - SENIOR VICE-PRESIDENT STRATEgIC<br />

RELATIONS & CEO ImEC TAIwAN CO.<br />

H. De Neve - ExECUTIVE VICE-PRESIDENT HUmAN RESOURCES<br />

L. Deferm - ExECUTIVE VICE-PRESIDENT BUSINESS DEVELOPmENT<br />

W. Fluit - SENIOR VICE-PRESIDENT SAFETy, BUILDINgS AND QUALITy<br />

B. Gyselinckx - gENERAL mANAgER ImEC NEDERLAND<br />

P. Lagasse - PROFESSOR ImEC’S ASSOCIATED LAB AT gHENT UNIVERSITy<br />

R. Lauwereins - VICE-PRESIDENT SmART SySTEmS TECHNOLOgy<br />

OFFICE<br />

H. Lebon - VICE-PRESIDENT FAB AND PROCESS STEP R&D<br />

H. Maes - SENIOR VICE-PRESIDENT INDUSTRIALIZATION AND TRAININg<br />

R. Mertens - SENIOR VICE-PRESIDENT UNIVERSITy RELATIONS<br />

L. Van den hove - PRESIDENT & CHIEF ExECUTIVE OFFICER<br />

J. Van Helleputte - SENIOR VICE-PRESIDENT STRATEgIC DEVELOPmENT<br />

P. Vandeloo - VICE-PRESIDENT ICT<br />

A. Vinck - ExECUTIVE VICE-PRESIDENT & CHIEF FINANCIAL OFFICER<br />

06<br />

SENIOR fELLOWS*<br />

G. Borghs, H. De Man, R. Mertens<br />

07<br />

fELLOWS*<br />

F. Catthoor, G. Groeseneken, P. Heremans,<br />

M. Heyns, W. Vandervorst<br />

08<br />

MEMbERS Of THE SCIENTIfIC<br />

ADVISORy bOARD*<br />

E.H.L. Aarts - PHILIPS RESEARCH (THE NETHERLANDS)<br />

I. Bolsens - xILINx (U.S.)<br />

J.W. Brands - BARCO (BELgIUm)<br />

A. Cremonesi - STmICROELECTRONICS (FRANCE)<br />

T. Doyle - PHILIPS RESEARCH (THE NETHERLANDS)<br />

P. Gargini - INTEL CORP. (U.S.)<br />

R. Khosla - NATIONAL SCIENCE FOUNDATION (U.S.)<br />

L. Kindt - LK INVESTmENT (BELgIUm)<br />

J.-T. Kong - SAmSUNg (KOREA)<br />

J.-T. Moon - SAmSUNg (KOREA)<br />

M. Ogura - mATSUSHITA ELECTRIC INDUSTRIAL CO (JAPAN)<br />

J. O’Reilly - CRANFIELD UNIVERSITy (U.K.)<br />

R. Pauwels - BIOCARTIS (SwITZERLAND)<br />

J. Plummer - STANFORD UNIVERSITy (U.S.)<br />

C. Quaeyhaegens - UmICORE ELECTRO-OPTIC mATERIALS (BELgIUm)<br />

J. Schmitz - NxP-TSmC RESEARCH CENTER (BELgIUm)<br />

G. Smeyers - KLA-TENCOR (BELgIUm)<br />

J. Stork - TExAS INSTRUmENTS (U.S.)<br />

T. Van Landegem - ALCATEL-LUCENT BELL (BELgIUm)<br />

J. Winnerl - INFINEON TECHNOLOgIES (gERmANy)


ADDRESSES<br />

01<br />

IMEC<br />

Kapeldreef 75<br />

B-3001 Leuven<br />

Belgium<br />

Phone: +32 16 28 18 80<br />

Katrien.marent@imec.be<br />

02<br />

IMEC THE NETHERLANDS – HOLST CENTRE<br />

High Tech Campus 31<br />

5656 AE Eindhoven<br />

The Netherlands<br />

Phone: +31 40 277 4000<br />

Philippe.mattelaer@imec-nl.nl<br />

discLaimer<br />

The contents of this annual <strong>report</strong> are intended exclusively for the personal<br />

information of the reader to the exclusion of every other interpretation.<br />

Although imec strives to ensure that the information contained herein is<br />

meticulous, correct and complete, it must be stated that it cannot give any<br />

guarantee as regards the accuracy, precision and/or the completeness of the<br />

afore-mentioned information. The information provided in this current annual<br />

<strong>report</strong> is provided “AS IS” and does not contain a single guarantee, either<br />

explicitly or implied, and this in the broadest sense. Moreover, the information<br />

cannot be considered in any way as an opinion or a recommendation from<br />

imec. Even more specifically, none of the information contained herein can be<br />

used for investment purposes in the broadest sense of the word.<br />

Possible expectations and/or projections concerning future events that imec<br />

might have included in this annual <strong>report</strong> are based upon the current insights<br />

03<br />

IMEC OffICE U.S.<br />

960 Saratoga Ave, Suite 206<br />

CA 95129 San Jose<br />

California<br />

U.S.<br />

Phone: +1 408 551-4502<br />

Raffaella.Borzi@imec.be<br />

04<br />

IMEC TAIWAN<br />

A6, 1F, No 1, Li-Hsin 1st Rd.<br />

Hsinchu Science Park<br />

Hsinchu City 300<br />

Taiwan<br />

Phone: +886 3 578 1115<br />

Peter.Lemmens@imec.be<br />

and assumptions of the imec management regarding known and unknown risks<br />

and uncertainties. The actual results, performances or other circumstances can<br />

in no small way differ from the stated expectations as a result of modifications<br />

in among other things, but not limited to them, (i) the general economic<br />

conditions in the sector in which imec operates, (ii) the conditions in among<br />

other things the financial markets and sectors and/or in emerging and/or new<br />

markets and sectors, (iii) laws and regulations and (iv) the policy of authorities<br />

and/or regulators.<br />

<strong>Imec</strong>, as well as its directors, management, employees and appointees in the<br />

broadest sense possible, disclaim any responsibility for any possible damage,<br />

loss, costs or expenses that might result from or could come about from the<br />

use of this annual <strong>report</strong> and/or information contained in it.<br />

All references contained in this <strong>report</strong>, pertaining to any kind of publications<br />

addREssEs<br />

IMEC<br />

05<br />

IMEC OffICE CHINA<br />

Suite 4011 Block C<br />

18 Huangyang Road<br />

Pudong<br />

201206 Shanghai<br />

P.R. China<br />

Phone: +86 21 61652747<br />

gao.Teng@imec.be<br />

06<br />

IMEC OffICE JAPAN<br />

c/o Embassy of Belgium<br />

5-4 Nibancho, Chiyoda-ku<br />

Tokyo 102-0084<br />

Japan<br />

Phone: +81 3 5210 5882 / Akihiko.Ishitani@imec.be<br />

Phone: +81 80 5180 1081 / mitsugu.yoneyama@imec.be<br />

or web sites from third parties, are purely for informative purposes. The<br />

responsibility for their content is the exclusive responsibility of the owner and/<br />

or the person responsible for these publications or websites.<br />

<strong>Imec</strong> is a registered trademark for the activities of ImEC International (a legal<br />

entity set up under Belgian law as a “stichting van openbaar nut” , registered in<br />

Belgium under the number of legal entities 0817 807 097), imec Belgium (ImEC<br />

vzw supported by the Flemish government and registered in Belgium under the<br />

number of legal entities 0425 260 668 ), imec the Netherlands (Stichting ImEC<br />

Nederland, part of Holst Centre which is supported by the Dutch government<br />

and known in the Dutch Kamer van Koophandel under the number 17179812) and<br />

imec Taiwan (ImEC Taiwan Co.) registered in Taiwan under the business license<br />

number 28112596).<br />

87


Colophon<br />

This annual <strong>report</strong> is available in English and Dutch.<br />

The accompanying scientific <strong>report</strong>, in English, con -<br />

tains detailed information about imec’s research<br />

activities and results. Both <strong>report</strong>s are also available<br />

on imec’s website (www.imec.be) and on the CD<br />

enclosed with this <strong>report</strong>.<br />

fOR PAPER COPIES,<br />

CONTACT:<br />

<strong>Imec</strong><br />

Inge Struys<br />

Kapeldreef 75<br />

B-3001 Leuven<br />

Phone: +32 16 28 89 80<br />

Fax: +32 16 28 16 37<br />

Inge.Struys@imec.be<br />

PUbLISHER<br />

Prof. Luc Van den hove<br />

President and CEO imec<br />

EDITORS<br />

Hanne Degans, Jan Provoost<br />

ExTERNAL COMMUNICATIONS DIRECTOR<br />

Katrien Marent<br />

CONCEPT & DESIGN<br />

Kunstmaan<br />

PHOTOGRAPHy<br />

Fred Loosen, Jan Pollers<br />

REALIZATION<br />

Hanne Degans, Jan Provoost, Olfa Marzouk<br />

The entire content of this publication is protected<br />

by copyright, full details of which are available from<br />

imec. All rights reserved. No part of this publication<br />

may be reproduced, stored in a retrieval system or<br />

transmitted in any form or by any means – electronic,<br />

mechanical, photocopying, recording or otherwise<br />

– without the prior permission of the copyright<br />

owner.<br />

Contact: Katrien Marent (Phone: +32 16 28 18 80)


ASPIRE<br />

INVENT<br />

ACHIEVE<br />

www.imec.be

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!