13.07.2015 Views

Transparents du cours - VHDL - MAE - Mémoire - Free

Transparents du cours - VHDL - MAE - Mémoire - Free

Transparents du cours - VHDL - MAE - Mémoire - Free

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Outils et méthodes de conceptionMachines à étatsMéthode de réalisation1 Spécification <strong>du</strong> cahier des charges (Crucial).2 Détermination des états.3 Identification des entrées et des sorties de la machine à états.4 Etablissement <strong>du</strong> graphe de transistion.Bertrand Granado Enseignant-Chercheur (LIP6 / UPMC) Sysprog Hiver 2014 124 / 298

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!