13.07.2015 Views

Transparents du cours - VHDL - MAE - Mémoire - Free

Transparents du cours - VHDL - MAE - Mémoire - Free

Transparents du cours - VHDL - MAE - Mémoire - Free

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Outils et méthodes de conceptionLes Machines à Etats en <strong>VHDL</strong>Machines de Moore - 2 process - EntitéLIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.ALL;USE ieee.std_logic_arith.ALL;ENTITY mae ISPORT(a : IN std_logic;hor : IN std_logic;raz : IN std_logic;);END mae ;b : OUT std_logicBertrand Granado Enseignant-Chercheur (LIP6 / UPMC) Sysprog Hiver 2014 73 / 298

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!