13.07.2015 Views

Transparents du cours - VHDL - MAE - Mémoire - Free

Transparents du cours - VHDL - MAE - Mémoire - Free

Transparents du cours - VHDL - MAE - Mémoire - Free

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Outils et méthodes de conceptionDifférents types de descriptionConditionnelle IFlibrary ieee;use ieee.std_logic_1164.all;entity decodeur isport ( choix : in std_logic_vector(1 downto 0);decode : out std_logic_vector(3 downto 0));end entity decodeur;architecture comport of decodeurdecodage : process(choix) isbeginIF (choix= "00") THEN decode

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!