13.07.2015 Views

Transparents du cours - VHDL - MAE - Mémoire - Free

Transparents du cours - VHDL - MAE - Mémoire - Free

Transparents du cours - VHDL - MAE - Mémoire - Free

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Outils et méthodes de conceptionDifférents types de descriptionL’instantiation de composants PORT MAPlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity demiadd isport( a, b : in std_logic;c, s : out std_logic);end entity demiadd;architecture flot of demiadd isbegins

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!