13.07.2015 Views

Transparents du cours - VHDL - MAE - Mémoire - Free

Transparents du cours - VHDL - MAE - Mémoire - Free

Transparents du cours - VHDL - MAE - Mémoire - Free

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Outils et méthodes de conceptionDifférents types de descriptionConditionnelle CASElibrary ieee;use ieee.std_logic_1164.all;entity decodeur isport ( choix : in std_logic_vector(1 downto 0);decode : out std_logic_vector(3 downto 0));end entity decodeur;architecture comport of decodeurdecodage : process(choix) isbeginCASE choixWHEN "00" => decode decode decode decode NULL;END CASE;end process decodage;end architecture comport;Bertrand Granado Enseignant-Chercheur (LIP6 / UPMC) Sysprog Hiver 2014 40 / 298

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!