13.07.2015 Views

Transparents du cours - VHDL - MAE - Mémoire - Free

Transparents du cours - VHDL - MAE - Mémoire - Free

Transparents du cours - VHDL - MAE - Mémoire - Free

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Outils et méthodes de conceptionDifférents types de descriptionL’instantiation de composants PORT MAPlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity add isport( a, b, cin : in std_logic;cout, s : out std_logic);end entity add;architecture struct of add issignal stmp, ctmp1,ctmp2 : std_logic;begindemiadd1 : entity work.demiadd(flot)port map(a,b,stmp,ctmp1);demiadd2 : entity work.demiadd(flot)port map(cin,stmp,s,ctmp2);cout

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!