13.07.2015 Views

Transparents du cours - VHDL - MAE - Mémoire - Free

Transparents du cours - VHDL - MAE - Mémoire - Free

Transparents du cours - VHDL - MAE - Mémoire - Free

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Outils et méthodes de conceptionMachines à étatsLes mémoires - typesROM : Read Only Memory = Mémoire Lecture Seule ?Les noms n’ont de sens que pour les auteurs !Bertrand Granado Enseignant-Chercheur (LIP6 / UPMC) Sysprog Hiver 2014 153 / 298

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!