13.07.2015 Views

Transparents du cours - VHDL - MAE - Mémoire - Free

Transparents du cours - VHDL - MAE - Mémoire - Free

Transparents du cours - VHDL - MAE - Mémoire - Free

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Outils et méthodes de conceptionMachines à étatsSynchronisation des Entrées : solution ?Pt p0ND0D1Registre d’étatIRegistre d’entréeI’At p1Signal d’HorlogeRegistre de sortieF’=F’(P,I)HorlogeHorlogeHorlogeAA’D0D1t p0t p1t >> t SBertrand Granado Enseignant-Chercheur (LIP6 / UPMC) Sysprog Hiver 2014 148 / 298

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!