13.07.2015 Views

Transparents du cours - VHDL - MAE - Mémoire - Free

Transparents du cours - VHDL - MAE - Mémoire - Free

Transparents du cours - VHDL - MAE - Mémoire - Free

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Outils et méthodes de conception<strong>VHDL</strong><strong>VHDL</strong> - Entitéentity MON-ET isgeneric (tp: time := 2ns);port( A : in std_logic;B : in std_logic;S : out std_logic);end entity MON-ET;Bertrand Granado Enseignant-Chercheur (LIP6 / UPMC) Sysprog Hiver 2014 16 / 298

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!