22.02.2024 Aufrufe

EPP 01.2024

Sie wollen auch ein ePaper? Erhöhen Sie die Reichweite Ihrer Titel.

YUMPU macht aus Druck-PDFs automatisch weboptimierte ePaper, die Google liebt.

Bild: imec<br />

Die imec 3D-Verbindungstechnologie-Landschaft<br />

Das Versprechen des Wafer-to-Wafer-Hybridbondens<br />

Der Weg zum 400 nm Interconnect<br />

Pitch ist frei<br />

Die 3D-Integration ist eine entscheidende Technologie, um heterogene Multi-<br />

Chip-Integrationslösungen zu realisieren. Damit reagiert die Industrie auf die<br />

Nachfrage nach mehr Power, Performance, Fläche und Kostenvorteilen auf<br />

Systemebene. 3D-Stacks werden auf verschiedenen Ebenen der elektronischen<br />

Systemhierarchie eingeführt. Daher wurde eine Vielzahl von 3D-Verbindungstechnologien<br />

entwickelt, die ein breites Spektrum von Verbindungsabständen<br />

abdecken und verschiedenste Anwendungsanforderungen erfüllen.<br />

Soon Aik Chew, Joeri De Vos, Eric Beyne, imec, Leuven (Belgien)<br />

Bis vor kurzem war die Großserienfertigung<br />

des Wafer-to-Wafer-Hybridbondens<br />

hauptsächlich auf den Bereich<br />

Stacked Imagesensoren auf signalverarbeitenden<br />

Schaltungen beschränkt. In<br />

jüngerer Zeit wird die Technik auch für<br />

die Integration von CMOS-Peripherieschaltungen<br />

auf 3D-NAND-Schichten<br />

eingesetzt. Diese Anwendungen profitieren<br />

von der Möglichkeit der Technologie, eine<br />

Million Verbindungen pro mm 2 zu integrieren,<br />

was durch einen engen Cu-Verbindungsabstand<br />

von etwa 1 µm ermöglicht<br />

wird. Ein weiterer Vorteil ist die Möglichkeit,<br />

verschiedene Materialien und Funktionalitäten<br />

sowie CMOS-Technologien unterschiedlicher<br />

Generationen zu kombinieren.<br />

Mit Hilfe der Systemtechnologie-Co-<br />

Optimierung (STCO) wird die Partitionierung<br />

von Schaltkreisen auf immer niedrigeren<br />

Ebenen der Designhierarchie erfolgen.<br />

Um das Potenzial des Wafer-to-Wafer-Hybrid-Bonding<br />

dabei voll auszuschöpfen,<br />

muss es den Forschern gelingen,<br />

den Pitch der Verbindungen weit unter<br />

1 µm zu skalieren.<br />

Prozessablauf für das Wafer-to-Wafer<br />

Hybrid-Bonden<br />

Die heutigen Prozessabläufe für das<br />

Hybrid-Bonden von Wafer zu Wafer beginnen<br />

mit zwei vollständig prozessierten<br />

300-mm-Wafern mit abgeschlossenem<br />

Front-End-of-Line (FEOL) und Back-Endof-Line<br />

(BEOL). Der erste Teil des Prozesses<br />

ähnelt einem On-Chip BEOL Damascene-Prozess,<br />

bei dem kleine Kavitäten in<br />

das Bonding-Dielektrikum geätzt werden<br />

– wofür überwiegend SiO2 verwendet<br />

wird. Die Hohlräume werden mit Barrieremetall,<br />

Seed und Cu gefüllt. Danach folgt<br />

ein chemisch-mechanischer Polierschritt<br />

(CMP), der für eine hohe Gleichmäßigkeit<br />

über die Wafer hinweg optimiert ist, um<br />

extrem plane dielektrische Oberflächen<br />

zu erzeugen und gleichzeitig eine Vertiefung<br />

von wenigen Nanometern für die<br />

Cu-Pads zu erzielen. Nach der präzisen<br />

Ausrichtung wird das eigentliche Bonden<br />

der beiden Wafer bei Raumtemperatur<br />

durchgeführt, indem die Wafer in der<br />

Mitte des Wafers in Kontakt gebracht<br />

werden. Die polierte Oberfläche der Wafer<br />

führt zu einer starken Anziehungskraft<br />

zwischen den Wafern, was zu einer Bondwelle<br />

führt, die den Spalt zwischen den<br />

Wafern von der Mitte zum Rand hin<br />

schließt. Nach diesem Schritt des Bondens<br />

bei Raumtemperatur werden die<br />

Wafer bei höheren Temperaturen getempert,<br />

um eine dauerhafte dielektrische<br />

und Cu-zu-Cu-Bindung zu erzielen.<br />

36 <strong>EPP</strong> » 01 | 2024

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!