12.07.2015 Views

Tutorial for the Semi Custom Part of the Image Processing System

Tutorial for the Semi Custom Part of the Image Processing System

Tutorial for the Semi Custom Part of the Image Processing System

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

97 set RPT_RESOURCES_FILE ${ PROJECT_DIR }/ SYN / RPT /${ RPT_RESOURCES_FILE_NAME }98 set RPT_REFERENCES_FILE ${ PROJECT_DIR }/ SYN / RPT /${ RPT_REFERENCES_FILE_NAME }99 set RPT_CELLS_FILE ${ PROJECT_DIR }/ SYN / RPT /${ RPT_CELLS_FILE_NAME }100101 # -----------------------------------------------------------------------------102 # Analyze RTL source103 # -----------------------------------------------------------------------------104 analyze -<strong>for</strong>mat vhdl -lib WORK $VHDL_SOURCE_FILE105106 # -----------------------------------------------------------------------------107 # Elaborate design108 # -----------------------------------------------------------------------------109 elaborate $VHDL_ENTITY \110 -arch $VHDL_ARCH \111 -lib DEFAULT -update \112 -param " WIDTH=$ { WIDTH },␣ HEIGHT=$ { HEIGHT },␣ NBITS_PIXEL=$ { NBITS_PIXEL },␣↵NBITS_HISTOGRAM=$ { NBITS_HISTOGRAM }"113114 check_design115116 # -----------------------------------------------------------------------------117 # Define environment118 # -----------------------------------------------------------------------------119 set_operating_conditions -library c35_CORELIB $OPERATING_COND120121 # -----------------------------------------------------------------------------122 # Define constraints123 # -----------------------------------------------------------------------------124 create_clock -name $CLK_NAME -period $CLK_PERIOD [ get_ports $CLK_NAME ]125126 set_input_delay $INPUT_DELAY -clock $CLK_NAME [ list [ all_inputs ]]127 set_output_delay $OUTPUT_DELAY -clock $CLK_NAME [ list [ all_outputs ]]128129 set_max_area 0130131 # Use only plain DFF cells132 set_dont_use [ list c35_CORELIB.db:c35_CORELIB / DFE* \133 c35_CORELIB.db:c35_CORELIB / DFS* \134 c35_CORELIB.db:c35_CORELIB / TF* \135 c35_CORELIB.db:c35_CORELIB / JK* ]136137 set_fix_multiple_port_nets -all138139 # -----------------------------------------------------------------------------140 # Set resource allocation and implementation141 # -----------------------------------------------------------------------------142 set_resource_implementation use_fastest143 if { $SHARE_RESOURCES } {144 set_resource_allocation area_only145 } else {146 set_resource_allocation none147 }148149 # -----------------------------------------------------------------------------150 # Save elaborated design and constraints151 # -----------------------------------------------------------------------------152 write -hierarchy -<strong>for</strong>mat $DB_FORMAT -output $DB_ELAB_FILE153154 # -----------------------------------------------------------------------------155 # Map design to gates156 # -----------------------------------------------------------------------------157 if { $COMPILE_SIMPLE } {14 Rev: 3, March 27, 2007vlsi2}semicustomtutorial.tex

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!