12.07.2015 Views

Tutorial for the Semi Custom Part of the Image Processing System

Tutorial for the Semi Custom Part of the Image Processing System

Tutorial for the Semi Custom Part of the Image Processing System

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

148 set RPT_CONN_FILE ${ PROJECT_DIR }/ PAR / RPT /${ RPT_CONN_NAME }149 set RPT_GEOM_FILE ${ PROJECT_DIR }/ PAR / RPT /${ RPT_GEOM_NAME }150 set RPT_DENSITY_FILE ${ PROJECT_DIR }/ PAR / RPT /${ RPT_DENSITY_NAME }151 set VLOG_NETLIST_SIM_FILE ${ PROJECT_DIR }/ HDL / GATE /${ VLOG_NETLIST_SIM_NAME }152 set VLOG_NETLIST_LVS_FILE ${ PROJECT_DIR }/ HDL / GATE /${ VLOG_NETLIST_LVS_NAME }153 set CTS_SPEC_FILE ${ PROJECT_DIR }/ PAR / CTS /${ CTS_SPEC_NAME }154 set CTS_RGUIDE_FILE ${ PROJECT_DIR }/ PAR / CTS /${ CTS_RGUIDE_NAME }155 set CTS_RPT_FILE ${ PROJECT_DIR }/ PAR / RPT /${ CTS_RPT_NAME }156 set GDS_FILE ${ PROJECT_DIR }/ PAR / DEX /${ GDS_FILE_NAME }157 set GDS_MAP_FILE ${ PROJECT_DIR }/ PAR / DEX / gds2.map158159 # -----------------------------------------------------------------------------160 # Procedures161 # -----------------------------------------------------------------------------162163 # make_clock_tree164 #165 proc make_clock_tree create_spec {166167 global CTS_BUFFER CTS_INV CTS_SPEC_FILE CTS_RGUIDE_FILE CTS_RPT_FILE168169 if { $create_spec || ![ file exists $CTS_SPEC_FILE ] } {170 createClockTreeSpec \171 -bufFootprint $CTS_BUFFER \172 -invFootprint $CTS_INV \173 -output $CTS_SPEC_FILE174 }175 specifyClockTree -clkfile $CTS_SPEC_FILE176 ckSyn<strong>the</strong>sis \177 -rguide $CTS_RGUIDE_FILE \178 -report $CTS_RPT_FILE179 optDesign -postCTS -setup -drv -outDir PAR / RPT180181 } ;# make_clock_tree182183 # -----------------------------------------------------------------------------184 # Load configuration file185 # -----------------------------------------------------------------------------186 loadConfig $CONF_FILE 0187 commitConfig188189 # -----------------------------------------------------------------------------190 # Load IO file191 # -----------------------------------------------------------------------------192 loadIoFile $IO_FILE193194 # -----------------------------------------------------------------------------195 # Set operating conditions196 # -----------------------------------------------------------------------------197 setOpCond \198 -maxLibrary $TIM_LIBRARY -max $TIM_OC_MAX \199 -minLibrary $TIM_LIBRARY -min $TIM_OC_MIN200201 # -----------------------------------------------------------------------------202 # Set user grids203 # -----------------------------------------------------------------------------204 setPreference ConstraintUserXGrid 0.1205 setPreference ConstraintUserXOffset 0.1206 setPreference ConstraintUserYGrid 0.1207 setPreference ConstraintUserYOffset 0.1208 setPreference SnapAllCorners 1209 setPreference BlockSnapRule 220 Rev: 3, March 27, 2007 vlsi2semicustomtutorial.tex

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!