05.12.2012 Views

research activities in 2007 - CSEM

research activities in 2007 - CSEM

research activities in 2007 - CSEM

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

centre suisse d’électronique<br />

et de microtechnique<br />

Scientific and<br />

Technical Report <strong>2007</strong>


<strong>CSEM</strong><br />

Centre Suisse d’Electronique<br />

et de Microtechnique SA<br />

<strong>CSEM</strong> is a privately held <strong>research</strong> and development company<br />

active <strong>in</strong>:<br />

•<br />

•<br />

•<br />

•<br />

Applied Research<br />

Product Development<br />

Prototype and Low-volume Production<br />

Technology Consult<strong>in</strong>g<br />

Its ma<strong>in</strong> fields of activity are micro- and nanotechnologies,<br />

microelectronics, systems eng<strong>in</strong>eer<strong>in</strong>g, microrobotics,<br />

photonics, <strong>in</strong>formation and communication technologies.<br />

In provid<strong>in</strong>g its high-tech know-how and technological<br />

expertise, <strong>CSEM</strong> strives to anticipate the future needs of<br />

different markets <strong>in</strong> terms of new technologies and offers<br />

its services to <strong>in</strong>dustrial customers. It also develops its own<br />

commercial <strong>activities</strong> – either together with exist<strong>in</strong>g companies<br />

or through the creation of sp<strong>in</strong>-offs and start-up companies –<br />

and actively contributes to develop<strong>in</strong>g Switzerland as a hightech<br />

<strong>in</strong>dustrial location.<br />

In July <strong>2007</strong>, a major of the Neuchâtel Observatory was<br />

<strong>in</strong>tegrated <strong>in</strong>to <strong>CSEM</strong> to cont<strong>in</strong>ue to develop space-related<br />

technologies. <strong>CSEM</strong> microsystems and m<strong>in</strong>iaturization<br />

competences will be a clear advantage <strong>in</strong> terms of new<br />

developments <strong>in</strong> this area. Furthermore, <strong>CSEM</strong> opened <strong>in</strong><br />

August a new <strong>research</strong> center <strong>in</strong> Landquart aimed at develop<strong>in</strong>g<br />

new technologies and competences <strong>in</strong> nanomedic<strong>in</strong>e.<br />

<strong>CSEM</strong> operates from its headquarters <strong>in</strong> Neuchatel and also<br />

has centers <strong>in</strong> Zurich, <strong>in</strong> Landquart and at Alpnach, near<br />

Lucerne. It is also <strong>in</strong>ternationally active, <strong>in</strong> many European<br />

countries as well as overseas. <strong>CSEM</strong> is pursu<strong>in</strong>g its<br />

geographical expansion strategy on a national as well as an<br />

<strong>in</strong>ternational level. This growth offers medium- and long-term<br />

stability, essential <strong>in</strong> an R&D environment.<br />

At the end of <strong>2007</strong>, the total number of employees at <strong>CSEM</strong><br />

was 348 of which 26 were Ph.D candidates. Additionally,<br />

approximately 500 people are employed by the 26 sp<strong>in</strong>-offs<br />

and start-ups created to date. In <strong>2007</strong>, <strong>CSEM</strong> earned 58.1<br />

million Swiss francs and presented a positive balance sheet.


CONTENTS<br />

PREFACE 5<br />

RESEARCH ACTIVITIES IN <strong>2007</strong> 7<br />

ThruCOS – From Biosensor Chip to Robust Analytical<br />

System 9<br />

Counterfeit – Mach<strong>in</strong>e Readable Covert Security<br />

Feature 10<br />

MicroMec – Microtechnology for Silicon Compliant<br />

Structures 11<br />

ArrayFM – An Atomic Force Microscope Us<strong>in</strong>g<br />

2-Dimensional Probe Arrays 12<br />

MicroStruc – Integrated Optical Polymer Platform,<br />

Low Cost Assembly and Packag<strong>in</strong>g 13<br />

Encoder – Nanometric Optical Absolute Position<br />

Encoder 14<br />

RISE – The Rich Sens<strong>in</strong>g Concept 15<br />

PackTime – Zero-Level Packag<strong>in</strong>g of Silicon<br />

Time-base 16<br />

TissueOptics – Portable SpO2 Monitor: a Fast<br />

Response Approach Tested <strong>in</strong> an Altitude Chamber 18<br />

TUGON – Compact MEMS-based Spectrometers for<br />

Infra-Red Spectroscopy 19<br />

Solar Islands – A Novel Approach to Cost Efficient<br />

Solar Power Plants 21<br />

MICROELECTRONICS 23<br />

Data Fusion for Wireless Distributed Track<strong>in</strong>g Systems 24<br />

High Dynamic Range Versatile Front-End for Vision<br />

Systems 25<br />

A High-Performance 2.4 GHz RF Front-End <strong>in</strong> a 90 nm<br />

Process 26<br />

Direct Modulation RF Transmitter and Super-<br />

Heterodyne Low-IF Receiver Development Platform for<br />

868 MHz and 915 MHz ISM Bands 27<br />

Quasi-Harmonic Quadrature CMOS Relaxation<br />

Oscillator 28<br />

Silicon Resonators: Thermal Compensation and<br />

Q Factor Optimization 29<br />

icycam, a System-On Chip (SoC) for Vision<br />

Applications 30<br />

Programmable Multi-Processor Eng<strong>in</strong>e for Ultra-Low-<br />

Power S<strong>in</strong>gle-Chip DVB Receiver 31<br />

PHOTONICS 33<br />

M<strong>in</strong>iaturized 360°-Camera Module for Collision<br />

Avoidance 34<br />

Optoelectronic Test Equipment for Image Sensors and<br />

Systems Qualification 35<br />

Highly Integrated Optical L<strong>in</strong>ear Encoder 36<br />

Compact Illum<strong>in</strong>ation Modules Based on High-Power<br />

VCSEL Arrays 37<br />

Generic Framework for Feature Extraction <strong>in</strong> Vision 38<br />

Efficient Screen<strong>in</strong>g and Formulation Optimization for<br />

Polymer LEDs 39<br />

Polymer LEDs Patterned by Ink-Jet Pr<strong>in</strong>t<strong>in</strong>g 40<br />

Optical Fill Factor Enhancement for Smart Pixels 41<br />

MICRO AND NANOTECHNOLOGY 43<br />

Dissolved Oxygen Sensor with Self-Clean<strong>in</strong>g and Self-<br />

Calibration 44<br />

Microfabricated Membranes for Cell Layer Culture and<br />

Analysis 45<br />

Metal Micro-Parts Fabrication 46<br />

Sc<strong>in</strong>tillat<strong>in</strong>g Fiber Probes for Neurophysiology 47<br />

Towards an Optical Switch with J-aggregates<br />

Monolayers 48<br />

Colour Filters Us<strong>in</strong>g Polystyrene Microspheres 49<br />

Towards Plasmon Enhanced Detectors 50<br />

Unique Mark<strong>in</strong>g for Traceability and Anti-Counterfeit<strong>in</strong>g<br />

Applications 51<br />

Sol-Gel based Nanoporous Layers as New Sens<strong>in</strong>g<br />

Interfaces 52<br />

High Aspect Ratio Nanopores <strong>in</strong> MEMS Compatible<br />

Substrates 53<br />

Nanoporous Membranes for Medical Diagnostics and<br />

Drug Discovery 54<br />

Stimuli-Responsive Surfaces and Smart Coat<strong>in</strong>gs 55<br />

Parallel Nanoscale Dispens<strong>in</strong>g of Liquids for Biological<br />

Analysis 56<br />

Electrospun Scaffolds for Tissue Eng<strong>in</strong>eer<strong>in</strong>g 57<br />

Detection Methods for Nanotoxicology 58<br />

Us<strong>in</strong>g Microtopography to Study Cell Elasticity 59<br />

Composite Materials for Bone Implants 60<br />

Simultaneous Detection of Four Antibiotic Families <strong>in</strong><br />

Milk for Customer Safety 61<br />

Smart Wound Dress<strong>in</strong>g with Integrated Biosensors 62<br />

Biosensors for Drug Prevention 63<br />

Food Safety with the Help of a M<strong>in</strong>iaturized Laboratory 64<br />

Wearable Biosensors <strong>in</strong> Protective Cloth<strong>in</strong>g 65<br />

3


NANOMEDICINE 67<br />

Robust Label-Free Biosensor us<strong>in</strong>g BRIGHT<br />

Technology 68<br />

X-Ray Microscopy and Micrometer-Resolution<br />

Computer Tomography 69<br />

SYSTEMS ENGINEERING 71<br />

Micro-Vibration Analysis Setup for MEMS and MOEMS<br />

Characterization 72<br />

Cl<strong>in</strong>ical Validation Results of the Long-Term Medical<br />

Survey System 73<br />

ActiSmile – A Portable Biofeedback Device on Physical<br />

Activity 75<br />

Prediction of Neurocardiovascular Events 76<br />

Reaction Sphere for Attitude Control 77<br />

Cont<strong>in</strong>uous Arterial Blood Pressure Monitor<strong>in</strong>g: Can<br />

the Cuff Be Got Rid of? 78<br />

WISE – Wireless Solutions for the Aeronautics Industry 79<br />

UWB Antenna with Improved Bandwidth and Spatial<br />

Diversity us<strong>in</strong>g RF-MEMS Switches 80<br />

FM-UWB – A Low Data Rate (LDR) UWB Approach<br />

with Short Synchronization Time and Robustness to<br />

Interference and Frequency-Selective Multipath 81<br />

A Wireless Sensor Network for Fire and Flood<br />

Detection at the Wild and-Urban Interface 82<br />

Exploit<strong>in</strong>g Directive Antennas for Wireless Sensor<br />

Networks 83<br />

A MAC Protocol for UWB-IR Wireless Sensor Networks 84<br />

Optimum Operat<strong>in</strong>g Regimes for Wireless Sensor<br />

Networks 85<br />

Wireless Sensor Networks for Monitor<strong>in</strong>g Cliffs <strong>in</strong> the<br />

Alps 86<br />

Control Electronics for Bio-Sens<strong>in</strong>g Textiles to Support<br />

Health Management 87<br />

Wearable Systems to Protect Rescuers and<br />

Firefighters dur<strong>in</strong>g Operations 88<br />

MEMS Based M<strong>in</strong>iature Catheter Probe for Ultrasound<br />

Imag<strong>in</strong>g 89<br />

MICROROBOTICS 91<br />

NanoHand – A System for Automated Nano-Handl<strong>in</strong>g –<br />

An Integrated EU Project 92<br />

Microfactory – A Flexible Assembly Platform 93<br />

Isolation and Reversible Immobilization of S<strong>in</strong>gle Cells 94<br />

Bond<strong>in</strong>g of Glass or Silicon Chips with a Self-Seal<strong>in</strong>g<br />

Photostructurable Elastomer 95<br />

Sensor and Connector Integration <strong>in</strong>to Microfluidic<br />

Systems us<strong>in</strong>g Biocompatible Tape Gaskets 96<br />

Pressure Sens<strong>in</strong>g Strip for Rapid Aerodynamic Test<strong>in</strong>g 97<br />

Pressure Sens<strong>in</strong>g Strip – Packag<strong>in</strong>g Aspects 98<br />

4<br />

Flip Chip Bond<strong>in</strong>g on Polymers – Die Attach and Leak-<br />

Tight Seal<strong>in</strong>g 99<br />

Optical / Fluidic Integration of Silicon-Based Hollow<br />

Waveguides 100<br />

Novel Injection-Free Method for Intraepidermal<br />

Delivery of Large Molecular Weight Drugs 101<br />

TIME AND FREQUENCY 103<br />

PRN-cw Backscatter Lidar Prototype 104<br />

Space Hydrogen Active Maser 105<br />

COMLAB 107<br />

Quality Control 108<br />

ANNEXES 109<br />

Publications 109<br />

Proceed<strong>in</strong>gs 110<br />

Conferences and Workshops 113<br />

Competence Centre for Materials Science and<br />

Technology (CCMX) and National Center of<br />

Competence <strong>in</strong> Research (NCCR) Projects 121<br />

Swiss Commission for Technology and Innovation<br />

(CTI) 121<br />

European Community Projects 122<br />

European Space Agency (ESA), European Southern<br />

Observatory (ESO) and Astrophysical Instrument<br />

Projects 123<br />

Industrial Property 124<br />

Collaboration with Research Institutes and Universities 124<br />

Teach<strong>in</strong>g 126<br />

Theses 129<br />

Commissions and Committees 130<br />

Prizes and Awards 132


PREFACE<br />

Dear Reader,<br />

In this report, <strong>CSEM</strong> presents the ma<strong>in</strong> results of its <strong>research</strong><br />

<strong>activities</strong> dur<strong>in</strong>g the year <strong>2007</strong>. Our <strong>research</strong> is aimed at<br />

commercial <strong>in</strong>novation and is very much orientated towards<br />

product applications. Us<strong>in</strong>g the know-how result<strong>in</strong>g from this<br />

special <strong>research</strong>, we ma<strong>in</strong>ta<strong>in</strong> and <strong>in</strong>crease our technology<br />

know-how, <strong>in</strong> order to create and develop our so-called<br />

technology platforms.<br />

We have def<strong>in</strong>ed seven priority doma<strong>in</strong>s for <strong>CSEM</strong>:<br />

• Integrated Systems for Information Technology<br />

• Photonics<br />

• Micro- and Nanotechnology<br />

• Nanomedic<strong>in</strong>e<br />

• Systems Eng<strong>in</strong>eer<strong>in</strong>g<br />

• Micro robotics and Packag<strong>in</strong>g<br />

• Time and Frequency<br />

It should be noted that the <strong>research</strong> <strong>activities</strong>, as described <strong>in</strong><br />

the follow<strong>in</strong>g pages, are f<strong>in</strong>anced by federal (80%) and<br />

cantonal funds (20%). We would like to thank all public<br />

authorities, federal and cantonal, who made this report<br />

possible!<br />

We use our technology platforms for three ma<strong>in</strong> strategic<br />

goals:<br />

1. To guarantee the susta<strong>in</strong>ability of our technology<br />

competences and to be able to rema<strong>in</strong> at the forefront<br />

of micro- and nanotechnology and related system<br />

eng<strong>in</strong>eer<strong>in</strong>g technology.<br />

2. To make these competences available to our <strong>in</strong>dustrial<br />

customers, thus br<strong>in</strong>g<strong>in</strong>g new technologies to new<br />

markets.<br />

3. To create start-ups <strong>in</strong> cases where new product ideas<br />

are not taken up by <strong>in</strong>dustry <strong>in</strong> Switzerland.<br />

As underl<strong>in</strong>ed above, <strong>CSEM</strong> <strong>research</strong> <strong>activities</strong> have ma<strong>in</strong>ly a<br />

commercial goal. Our measure of success is therefore the<br />

number of commercial applications and of patentable ideas.<br />

We would like to thank all our partners (EPFL, IMT, ETHZ,<br />

CEA / Léti-Liten, Fraunhofer Group Microelectronics VµE, and<br />

many others). And, most of all, we would like to thank all who<br />

have contributed to this report.<br />

I hope you will like it!<br />

Thomas H<strong>in</strong>derl<strong>in</strong>g<br />

CEO, <strong>CSEM</strong><br />

5


RESEARCH ACTIVITIES IN <strong>2007</strong><br />

Alex Dommann<br />

Today, <strong>in</strong>dustries are look<strong>in</strong>g for complete solutions. In<br />

particular, <strong>in</strong>novative products exhibit a technological<br />

complexity, which can seldom be handled by a s<strong>in</strong>gle<br />

technology provider. One of <strong>CSEM</strong> strengths is to offer this<br />

wide spectrum of technologies “under one roof”.<br />

<strong>CSEM</strong> is proud to offer its <strong>in</strong>dustrial clients a rich portfolio of<br />

technologies and a sound know-how of how to apply and<br />

realize <strong>in</strong>novative products based on Micro and<br />

Nanotechnologies. To ma<strong>in</strong>ta<strong>in</strong> this portfolio <strong>in</strong> a healthy state<br />

the Swiss Federal and Cantonal Governments provide the<br />

necessary fund<strong>in</strong>g to run an applied <strong>research</strong> program. In the<br />

frame of the applied <strong>research</strong> n<strong>in</strong>e multidiscipl<strong>in</strong>ary <strong>in</strong>tegrated<br />

projects (MIPs) built on several exist<strong>in</strong>g <strong>CSEM</strong> technologies<br />

were launched. MIPs are planned on a tight time-schedule of<br />

typically 2 years from the beg<strong>in</strong>n<strong>in</strong>g to the realization of the<br />

demonstrator. Based on the market-oriented <strong>research</strong> strategy<br />

of <strong>CSEM</strong> ten MIPs were selected. A larger <strong>in</strong>terdiscipl<strong>in</strong>ary<br />

demonstrator project is Solar Island (see below) fully f<strong>in</strong>anced<br />

externally but also dwell<strong>in</strong>g on many different resources of<br />

<strong>CSEM</strong>, <strong>in</strong>clud<strong>in</strong>g <strong>in</strong>dustrialization.<br />

Further details on the n<strong>in</strong>e MIP <strong>activities</strong> can also be found <strong>in</strong><br />

this Scientific and Technical Report.<br />

ThruCOS – From Biosensor Chip to Robust Analytical<br />

System<br />

In order to accurately control a biomolecular reaction, it is<br />

necessary to control the fluidic flow as well as the temperature<br />

of the reaction. Therefore, the wavelength <strong>in</strong>terrogated optical<br />

sens<strong>in</strong>g system (WIOS), previously developed at <strong>CSEM</strong>, has<br />

been updated with a fluidic cartridge and a temperature<br />

stabilized measurement chamber. In the future, this system<br />

will be <strong>in</strong>dustrialized by the start-up company Dynetix.<br />

Counterfeit – Mach<strong>in</strong>e Readable Covert Security Feature<br />

By comb<strong>in</strong><strong>in</strong>g Micro and Nano technologies <strong>CSEM</strong> developed<br />

a security system to directly mark products and verify their<br />

authenticity. Due to forged products various <strong>in</strong>dustry sectors<br />

experience huge damages account<strong>in</strong>g to several hundred<br />

billion US Dollars a year. The development and<br />

implementation of new security systems opens a huge market<br />

to be exploited. In order to meet these requirements, <strong>CSEM</strong><br />

designed a new system show<strong>in</strong>g two ma<strong>in</strong> characteristics:<br />

• A random pattern which is difficult to be copied was<br />

developed as a ma<strong>in</strong> security feature.<br />

• In order to read those random patterns an <strong>in</strong>strument was<br />

developed which is able to read those features only by<br />

authorized persons.<br />

MicroMec – Microtechnology for Silicon Compliant<br />

Structures<br />

The target of this project is the development of a<br />

microfabricated silicon compliant structure for mechanical<br />

applications and its understand<strong>in</strong>g of the ag<strong>in</strong>g behaviour.<br />

MEMS can be made highly reliable, but it must however be<br />

noted that the failure modes of MEMS can be different from<br />

those of solid-state electronics. Therefore test<strong>in</strong>g techniques<br />

are developed to accelerate MEMS-specific failures.<br />

Monocrystall<strong>in</strong>e material and, especially, silicon is<br />

preferentially used due to its potential resistance aga<strong>in</strong>st<br />

ag<strong>in</strong>g.<br />

ArrayFM – An Atomic Force Microscope Us<strong>in</strong>g<br />

2-Dimensional Probe Arrays<br />

In this multidiscipl<strong>in</strong>ary <strong>in</strong>tegrated project, an atomic force<br />

microscope able to <strong>in</strong>vestigate large sample surfaces with<br />

nanometric resolution was developed. Instead of a s<strong>in</strong>gle<br />

probe, this novel microscope uses a 2-dimensional array of<br />

probes operat<strong>in</strong>g <strong>in</strong> parallel. Applications of this microscope<br />

<strong>in</strong>clude the biology doma<strong>in</strong>, quality control, as well as material<br />

and surface characterization.<br />

MicroStruc – Integrated Optical Polymer Platform,<br />

Low Cost Assembly and Packag<strong>in</strong>g<br />

An <strong>in</strong>tegrated optics platform based on polymers as a low-cost<br />

alternative to glass and semiconductor waveguides has been<br />

developed from the design to the complete assembly and<br />

packag<strong>in</strong>g of the devices. Although silica-on-silicon PLCs are<br />

well established they are still rather expensive as the<br />

process<strong>in</strong>g and packag<strong>in</strong>g is costly. Therefore, polymer PLCs<br />

potentially provide a promis<strong>in</strong>g alternative if low cost over the<br />

whole manufactur<strong>in</strong>g process can be obta<strong>in</strong>ed. First<br />

demonstrators were already built.<br />

Encoder – Nanometric Optical Absolute Position Encoder<br />

An optical absolute position encoder pr<strong>in</strong>ciple is presented<br />

which can comb<strong>in</strong>e many attractive features such as 24 bit<br />

resolution per 100 mm, compact design, optic-less shadow<br />

imag<strong>in</strong>g, sampl<strong>in</strong>g rate exceed<strong>in</strong>g 1 MHz and robustness. The<br />

detectable displacement is several hundred times smaller than<br />

the wavelength of the light and only 10 times larger than the<br />

diameter of the silicon atom.<br />

RISE – the Rich Sens<strong>in</strong>g Concept<br />

With<strong>in</strong> the RISE project a camera-based wireless sensor<br />

network for people detection and track<strong>in</strong>g purposes has been<br />

implemented. This sensor network, which is based on three<br />

vision sensors and two 3D time-of-flight cameras, is an ideal<br />

test-bed for long-term operational tests and the development<br />

of advanced algorithms. Furthermore, the <strong>in</strong>stallation is well<br />

suited for life demonstration purposes.<br />

PackTime – Zero-Level Packag<strong>in</strong>g of Silicon Time-base<br />

The development of a thermally compensated silicon timebase<br />

and the associated packag<strong>in</strong>g to yield a m<strong>in</strong>iature<br />

vacuum-sealed cavity around the resonator requires<br />

multidiscipl<strong>in</strong>ary competences <strong>in</strong> the fields of IC, MEMS<br />

design/fabrication, packag<strong>in</strong>g, f<strong>in</strong>ite element model<strong>in</strong>g and<br />

metrology. This is the goal of the PackTime MIP.<br />

7


TissueOptics – Portable SpO2 Monitor: A Fast Response<br />

Approach, Tested <strong>in</strong> an Altitude Chamber<br />

Altitude is hazardous for the human body, with the oxygen<br />

delivery to the cells be<strong>in</strong>g jeopardized. The prototype of an<br />

advanced oxygen saturation monitor<strong>in</strong>g sensor, embedded <strong>in</strong><br />

a commercial earphone, was successfully tested <strong>in</strong> an altitude<br />

chamber.<br />

TUGON – Compact MEMS-based Spectrometers for Infra-<br />

Red Spectroscopy<br />

Deformable MEMS diffraction grat<strong>in</strong>gs have great promise as<br />

tun<strong>in</strong>g elements for external cavity lasers and for compact<br />

spectrometers. The challenge is to make high efficiency<br />

tunable MEMS grat<strong>in</strong>gs and <strong>in</strong>corporate them <strong>in</strong>to practical<br />

devices. <strong>CSEM</strong> successfully designed, fabricated and tested<br />

MEMS grat<strong>in</strong>gs. Their spectral response was tested and the<br />

potential to design ultra-compact spectrometers based around<br />

this technology was shown.<br />

Solar Islands – A Novel Approach to Cost Efficient Solar<br />

Power Plants<br />

Exist<strong>in</strong>g Solar Power Plants are too small, need complex<br />

constructions and drive systems to follow the altitude of the<br />

sun and have a limited use factor of the area. Therefore the<br />

generated energy is too expensive. The target of the new<br />

concept “Solar Islands” is to improve all these cost factors and<br />

to end up with a cost per kWh which is competitive with the<br />

energy costs of today. Furthermore the design as a float<strong>in</strong>g<br />

“island” allows not only the application on land, but also on<br />

lakes, lagoons or on high sea. The vision is to build very large<br />

islands, float<strong>in</strong>g on the pacific, that could contribute 1/4th of<br />

the estimated global energy demand <strong>in</strong> 2030.<br />

In <strong>2007</strong> the <strong>CSEM</strong> filed 23 new patent applications, 34<br />

<strong>in</strong>vention reports were submitted for exam<strong>in</strong>ation and<br />

extension of 21 patents on prior patent applications <strong>in</strong> different<br />

countries were filed <strong>in</strong>.<br />

From the collaboration agreement between CEA / Léti and the<br />

Fraunhofer Group Microelectronics (VµE) three work<strong>in</strong>g<br />

groups emerged: the polymer platform, the jo<strong>in</strong>t design team<br />

and the jo<strong>in</strong>t reliability team. It is also important to note the<br />

creation of two new divisions at <strong>CSEM</strong>: Time and Frequency<br />

<strong>in</strong> Neuchatel and Nanomedic<strong>in</strong>e <strong>in</strong> Landquart.<br />

8


ThruCOS – From Biosensor Chip to Robust Analytical System<br />

G. Voir<strong>in</strong>, R. Ischer, E. Bernard, G. Suarez, J. Auerswald, L. Davo<strong>in</strong>e, M. Wiki, S. Berchtold, N. Schmid<br />

In order to accurately control a biomolecular reaction, it is necessary to control the fluidic flow and the temperature of the reaction. Therefore, the<br />

wavelength <strong>in</strong>terrogated optical sens<strong>in</strong>g system (WIOS), previously developed at <strong>CSEM</strong>, has been updated with a fluidic cartridge and a<br />

temperature stabilized measurement chamber. In the future, this system will be <strong>in</strong>dustrialized by the start-up company Dynetix.<br />

In recent years, <strong>CSEM</strong> has developed a biosensor platform<br />

based on glass chips. It is a general platform that has been<br />

used to demonstrate the potential of the wavelength<br />

<strong>in</strong>terrogated optical sens<strong>in</strong>g system (WIOS). However, this<br />

platform must be adapted to fit new applications, for example,<br />

an analytical laboratory system or a specific detection system<br />

for antibiotics [1] . Therefore, a specific fluidic system which<br />

allows several re-configurations has been developed. In<br />

addition, a temperature controller has also been <strong>in</strong>tegrated<br />

<strong>in</strong>to the fluidic chip system to improve the reliability of the<br />

measurements.<br />

The glass chip consists of a glass substrate covered by a high<br />

refractive <strong>in</strong>dex waveguide layer, and several grat<strong>in</strong>g regions<br />

which form a matrix of sens<strong>in</strong>g pads on the chip. Specific<br />

recognition molecules can be attached to each grat<strong>in</strong>g pad as<br />

a means of detect<strong>in</strong>g different molecular targets. The specific<br />

b<strong>in</strong>d<strong>in</strong>g of molecules translates <strong>in</strong>to a change of the refractive<br />

<strong>in</strong>dex of the sens<strong>in</strong>g layer. The refractive <strong>in</strong>dex is measured<br />

by determ<strong>in</strong><strong>in</strong>g the resonance wavelength of the waveguide<br />

grat<strong>in</strong>g pads us<strong>in</strong>g a wavelength tunable laser. To this end,<br />

the laser wavelength is periodically swept while the coupled<br />

light <strong>in</strong>tensity is recorded. The laser illum<strong>in</strong>ates eight grat<strong>in</strong>g<br />

pads simultaneously which are <strong>in</strong>dependently analysed.<br />

The designed fluidic cartridge is divided <strong>in</strong> two parts: the first<br />

part is a support for the glass chip that def<strong>in</strong>es the microfluidic<br />

channels over each of the grat<strong>in</strong>g pads us<strong>in</strong>g a thickness<br />

controlled double sided tape; the the second part def<strong>in</strong>es the<br />

fluidic channels for address<strong>in</strong>g each <strong>in</strong>dividual sens<strong>in</strong>g pad.<br />

Two different fluidic circuits have been designed for a<br />

multipurpose laboratory analytical system. In one design, each<br />

pad is addressed <strong>in</strong>dividually; there is one fluidic <strong>in</strong>let and one<br />

fluidic outlet per grat<strong>in</strong>g pad. In the other design, the grat<strong>in</strong>g<br />

pads are serially addressed and the cartridge has only one<br />

<strong>in</strong>let and one outlet (Figure 1). The first design will be used for<br />

the functionalization of the grat<strong>in</strong>g pads with different capture<br />

molecules, while the second design will be used to test a fluid<br />

sample for different target molecules <strong>in</strong> a s<strong>in</strong>gle measurement.<br />

IN<br />

OUT<br />

Cross section<br />

4cm<br />

Cartridge th<strong>in</strong>ned down<br />

ca. 0.5mm<br />

for temperature stabilization<br />

of flow-cell region<br />

Size ca. 8mm x 14mm<br />

Fluidic Gasket<br />

- double side adhesive tape<br />

- patterned by laser cutt<strong>in</strong>g<br />

WIOS Chip<br />

Figure 1: Schematic of one of the fluidic circuitries <strong>in</strong> the cartridge<br />

Fluidic cartridges were fabricated us<strong>in</strong>g micromill<strong>in</strong>g<br />

technology <strong>in</strong> PMMA (Figure 2). Fabrication of the WIOS chips<br />

with replication technologies <strong>in</strong> plastic substrates was also<br />

performed; however, the performances are not yet sufficient<br />

and the fabrication will be optimized.<br />

For analytical applications <strong>in</strong> the laboratory, the temperature<br />

of the biomolecular reaction must be controlled and<br />

reproducible. In order to ma<strong>in</strong>ta<strong>in</strong> a fixed temperature on the<br />

chip and <strong>in</strong> the measurement fluid, the cartridge is enclosed <strong>in</strong><br />

a temperature stabilized measurement chamber. The<br />

temperature of the chamber is then set us<strong>in</strong>g a Peltier element<br />

controlled with a feedback-loop system.<br />

Figure 2: View of the fluidic cartridge with one <strong>in</strong>let and one outlet<br />

The system depicted <strong>in</strong> Figure 3 was used successfully <strong>in</strong> a<br />

set-up phase to implement the immunoassay protocol for<br />

antibiotic detection on the WIOS <strong>in</strong>strument <strong>in</strong> the frame of the<br />

CCMX project Lab-On-A-Chip [1] . The temperature control<br />

system is able to stabilize the temperature to with<strong>in</strong> 0.01°C <strong>in</strong><br />

the range of 15 to 40°C.<br />

Figure 3: Temperature stabilization system <strong>in</strong>clud<strong>in</strong>g the cartridge<br />

WIOS and support<strong>in</strong>g development have lead to the creation<br />

of the start-up company Dynetix [2] , which will take over the<br />

<strong>in</strong>dustrialization and the commercialization of the analytical<br />

system for laboratory applications.<br />

This work was funded by the OFFT, the cantons of Central<br />

Switzerland, the Micro Center Central Switzerland (MCCS),<br />

and European Projects FP6-NMP-STRP-032131 & NMP3-CT-<br />

<strong>2007</strong>-026549. <strong>CSEM</strong> thanks them for their support.<br />

[1] G. Voir<strong>in</strong>, et al., “Simultaneous Detection of Four Antibiotic<br />

Families <strong>in</strong> Milk for Customer Safety”, <strong>in</strong> this report, page 61<br />

[2] www.dynetix.ch<br />

Inlet<br />

Outlet<br />

9


Counterfeit – Mach<strong>in</strong>e Readable Covert Security Feature<br />

J. Pierer, U. Gubler, N. Blondiaux, R. Pug<strong>in</strong>, C. Keck, H. Walter<br />

By comb<strong>in</strong><strong>in</strong>g Micro and Nano technologies <strong>CSEM</strong> has developed a security system to directly mark products and verify their authenticity.<br />

Due to forged products various <strong>in</strong>dustry sectors experience<br />

huge damages account<strong>in</strong>g to several hundred billion US<br />

Dollars a year [1] . Consequently, extensive efforts are made to<br />

<strong>in</strong>crease protection of products at risk. The development and<br />

implementation of new security systems opens a huge market<br />

to be exploited.<br />

However, to understand this market, one has to understand<br />

the characteristics of security features. Most safety features<br />

and safety equipment are safe only for a short period of time,<br />

depend<strong>in</strong>g on how long it takes for forgers to counterfeit the<br />

technique. Extend<strong>in</strong>g the secure period of a safety device is<br />

therefore one of the most important requirements when<br />

develop<strong>in</strong>g new security systems.<br />

In order to meet these requirements, <strong>CSEM</strong> designed a new<br />

system show<strong>in</strong>g two ma<strong>in</strong> characteristics:<br />

• A random pattern [2] which is difficult to be copied was<br />

developed as a ma<strong>in</strong> security feature<br />

• In order to read those random patterns an <strong>in</strong>strument was<br />

developed which is able to read those features’, but is no<br />

use to somebody who does not know what to look for.<br />

By process control these patterns can be designed to meet<br />

certa<strong>in</strong> specifications with regard to the features of average<br />

size and consequently average period. Their organization,<br />

however, rema<strong>in</strong>s completely random.<br />

The coherent light of a laser is used to illum<strong>in</strong>ate the pattern.<br />

The light is scattered on each element of the structure. Every<br />

s<strong>in</strong>gle element can then be seen as a new source of light.<br />

Investigat<strong>in</strong>g the <strong>in</strong>tensity of the scattered light at any po<strong>in</strong>t <strong>in</strong><br />

space will result <strong>in</strong> a value given by the superposition of the<br />

light of all these sources. Depend<strong>in</strong>g on <strong>in</strong>cidence angle,<br />

structure period and wavelength of the light one gets a<br />

particular <strong>in</strong>tensity distribution. However, s<strong>in</strong>ce the features<br />

are randomly aligned the image shows a so called speckle<br />

pattern (Figure 1).<br />

Figure 1: Image of a speckle pattern on a paper target, left: small<br />

spot illum<strong>in</strong>ated, right: large spot illum<strong>in</strong>ated<br />

When illum<strong>in</strong>at<strong>in</strong>g a small spot of the security feature (about<br />

30 µm) a well dist<strong>in</strong>guishable speckle pattern becomes<br />

visible. By enlarg<strong>in</strong>g this area up to a few millimetres, the<br />

speckles are averaged and a smooth distribution is visible.<br />

10<br />

Analyz<strong>in</strong>g characteristic parameters of the speckle pattern or<br />

the smooth distribution identifies uniquely either a particular<br />

security item (e.g. credit card) or the technology with which<br />

the pattern was created. We have built a small electronic<br />

prototype to demonstrate the feasibility and ruggedness of this<br />

new technique.<br />

A small laser diode with an <strong>in</strong>tegrated focus<strong>in</strong>g lens was used<br />

as source, a silicon photodiode array as detector to build the<br />

prototype. All components, <strong>in</strong>clud<strong>in</strong>g a micro controller, were<br />

assembled on a pr<strong>in</strong>ted circuit board. The micro controller<br />

compares the sample under <strong>in</strong>vestigation with an <strong>in</strong>built<br />

reference and communicates the result via a green/red LED to<br />

the human observer. For obvious reasons credit cards were<br />

chosen to demonstrate the new security feature. The credit<br />

card is <strong>in</strong>serted <strong>in</strong>to the device through a standard smartcard<br />

holder. The cardholder holds the credit card <strong>in</strong> place with a<br />

repeatable accuracy exceed<strong>in</strong>g 40 µm, which is sufficient for<br />

these purposes. As can be seen <strong>in</strong> Figure 2 the entire setup<br />

fits <strong>in</strong>to a small box leav<strong>in</strong>g plenty of space.<br />

Figure 2: Demonstrator<br />

The results of this project have proven that the implementation<br />

of these newly developed security features is possible with<br />

very simple low cost components. <strong>CSEM</strong> security system is<br />

difficult to counterfeit and is mass producible. The developed<br />

authentication device is easy to use, compact and can be built<br />

<strong>in</strong> <strong>in</strong>to other devices, such as automatic teller mach<strong>in</strong>es.<br />

<strong>CSEM</strong> new security features offer a promis<strong>in</strong>g way to prevent<br />

counterfeit<strong>in</strong>g for an extended period of time.<br />

[1] G. W. Abbot, L. S. Sporn, Trademark Counterfeit<strong>in</strong>g § 1.03[A]<br />

[2] Patents pend<strong>in</strong>g


MicroMec – Microtechnology for Silicon Compliant Structures<br />

C. Verjus, J.-M. Major, T. Overstolz, A. Hoogerwerf, A. Ibzazene, A. Neels, A. Schifferle, A. Dommann<br />

The target of this project is the development of a microfabricated silicon compliant structure for mechanical applications and its understand<strong>in</strong>g of the<br />

ag<strong>in</strong>g behaviour.<br />

MEMS can be made highly reliable, but it must however be<br />

noted that the failure modes of MEMS can be different from<br />

those of solid-state electronics. Therefore test<strong>in</strong>g techniques<br />

must be developed to accelerate MEMS-specific failures [1] .<br />

Monocrystall<strong>in</strong>e material and, especially, silicon is<br />

preferentially used due to its potential resistance aga<strong>in</strong>st<br />

ag<strong>in</strong>g. However, quantified results of this fact are rarely<br />

published. The reasons are manifold; however they are also<br />

related to the surface roughness as well as to the defect<br />

concentration of the etched surfaces due to the ion<br />

bombardment [2] .<br />

Deep reactive ion etch<strong>in</strong>g (DRIE) of silicon-on-<strong>in</strong>sulator (SOI)<br />

substrates allows the fabrication of structures with arbitrary<br />

shapes (2D) that are vertically extruded by remov<strong>in</strong>g excess<br />

silicon.<br />

Test structures can be built from s<strong>in</strong>gle crystall<strong>in</strong>e silicon by<br />

DRIE processes. Mechanical tests on these structures <strong>in</strong><br />

relation with simulations of stresses and the experimental<br />

determ<strong>in</strong>ation of the stra<strong>in</strong> / stress behavior and defect<br />

analysis by High Resolution Diffraction Methods (HRXRD)<br />

give very important <strong>in</strong>formation about the device and its long<br />

term stability. A silicon beam structure processed by DRIE<br />

(Figure 1) has been studied. Simulations have been done<br />

related to mechanical shifts applied to the entire silicon<br />

structure which results <strong>in</strong> the generation of stra<strong>in</strong> <strong>in</strong> the small<br />

silicon beams hav<strong>in</strong>g a thickness of 50 µm. In dependance of<br />

the position of the beam <strong>in</strong> the structure, stresses rang<strong>in</strong>g<br />

from about 60 to 1100 MPA are calculated by simulations.<br />

Figure 1: Silicon beam structure processed by DRIE<br />

High resolution x-ray diffractometry (HRXRD) measures the<br />

stra<strong>in</strong> of a crystal. This is an accurate, non destructive method<br />

applied <strong>in</strong> the field of MEMS to obta<strong>in</strong> quantified results on the<br />

crystall<strong>in</strong>e disorder.<br />

<strong>CSEM</strong> therefore applies an X-ray rock<strong>in</strong>g curve method, which<br />

measures the stra<strong>in</strong> of a crystal as well as the defect<br />

concentrations.<br />

Apply<strong>in</strong>g a mechanical force to a perfect silicon s<strong>in</strong>gle crystal<br />

results <strong>in</strong> a deformation which is directly related to a change of<br />

the crystal stra<strong>in</strong> profile. In addition, reciprocal space mapp<strong>in</strong>g<br />

(RSM) visualizes the stra<strong>in</strong> generation related to the bend<strong>in</strong>g<br />

of the th<strong>in</strong> Silicon beam. The appearance of diffused<br />

scatter<strong>in</strong>g <strong>in</strong> the RSM (Figure 2) is related to the beam<br />

bend<strong>in</strong>g stra<strong>in</strong>. Elastic deformation <strong>in</strong> the test structure was<br />

observed.<br />

Figure 2: Diffused scatter<strong>in</strong>g <strong>in</strong> the RSM of compliant structure<br />

The study of mechanical tests comb<strong>in</strong>ed with simulations and<br />

related HRXRD measurements results <strong>in</strong> a better<br />

understand<strong>in</strong>g of the material properties. The generation of<br />

defects <strong>in</strong> the material and their <strong>in</strong>crease related to<br />

mechanical stresses or other environemental <strong>in</strong>fluences is an<br />

important issue as it is directly related to the device<br />

performance and its long term stability.<br />

[1] A. Dommann, G. Kotrotsios, A. Neels, MEMS Reliability and<br />

Test<strong>in</strong>g, MST News, (<strong>2007</strong>)<br />

[2] E. Mazza and J. Dual, Mechanical behavior of a µm-sized s<strong>in</strong>gle<br />

crystal silicon structure with sharp notches. J. Mechanics and<br />

Physics of Solids 47 (1999) 1795-1821<br />

11


ArrayFM – An Atomic Force Microscope Us<strong>in</strong>g 2-Dimensional Probe Arrays<br />

A. Meister, J. Polesel-Maris, S. Dasen, G. Gruener, M. Schnieper, T. Overstolz, A. Vuillem<strong>in</strong>, C. Gimkiewicz, R. Ischer, P. Vettiger,<br />

H. He<strong>in</strong>zelmann<br />

In this multidiscipl<strong>in</strong>ary <strong>in</strong>tegrated project, an atomic force microscope able to <strong>in</strong>vestigate large sample surfaces with nanometric resolution was<br />

developed. Instead of a s<strong>in</strong>gle probe, this novel microscope uses a 2-dimensional array of probes operat<strong>in</strong>g <strong>in</strong> parallel. Applications of this<br />

microscope <strong>in</strong>clude the biology doma<strong>in</strong>, quality control, as well as material and surface characterization.<br />

S<strong>in</strong>ce the emergence of the atomic force microscopy (AFM) <strong>in</strong><br />

the eighties, the topographic <strong>in</strong>vestigation of a sample surface<br />

at a nanometric scale has become a standard technique. AFM<br />

techniques can also be used to measure various k<strong>in</strong>ds of local<br />

<strong>in</strong>teractions, such as magnetic, electrostatic, or b<strong>in</strong>d<strong>in</strong>g forces,<br />

electrical conductivity, or to determ<strong>in</strong>e mechanical properties<br />

such as elasticity or friction. Standard AFMs use a s<strong>in</strong>gle<br />

probe, and, due to the scann<strong>in</strong>g process, are rather slow <strong>in</strong><br />

terms of data acquisition. The aim of this project is to develop<br />

an AFM function<strong>in</strong>g with a large probe-array <strong>in</strong>stead of a<br />

s<strong>in</strong>gle probe, <strong>in</strong>creas<strong>in</strong>g thus the throughput of the <strong>in</strong>strument.<br />

The realized <strong>in</strong>strument is shown <strong>in</strong> Figure 1. The<br />

implementation of arrays <strong>in</strong>stead of a s<strong>in</strong>gle probe requires<br />

new functionalities compared to a standard AFM <strong>in</strong>strument,<br />

such as the parallel read-out of each probe, the spatial<br />

alignment of the probe-array above the sample surface, and a<br />

dedicated software to drive the <strong>in</strong>strument and for the user<br />

<strong>in</strong>terface. The correct position<strong>in</strong>g of the probe-array above the<br />

surface is realized us<strong>in</strong>g a microposition<strong>in</strong>g stage with 6 axis<br />

of freedom (Hexapod) with micrometric accuracy. The sample<br />

is mounted on a piezoelectric nanoposition<strong>in</strong>g stage, and is<br />

scanned with a nanometric precision while the array probes<br />

the sample surface.<br />

Figure 1: Developed AFM <strong>in</strong>strument that is able to operate with an<br />

array of probes <strong>in</strong> parallel<br />

In contrast to standard AFMs, where the read-out of the<br />

cantilever deflection is detected us<strong>in</strong>g a reflected laser beam,<br />

<strong>in</strong> this <strong>in</strong>strument the parallel read-out of the cantilever-array<br />

is based on optical <strong>in</strong>terferometry us<strong>in</strong>g a L<strong>in</strong>nik<br />

<strong>in</strong>terferometer. The <strong>in</strong>terferogram, which arises from the<br />

comb<strong>in</strong>ation of both reference and measur<strong>in</strong>g optical beams,<br />

is detected by a CMOS camera and analyzed by the software.<br />

The characterization of the optical set-up showed an ability to<br />

measure cantilever deflections as small as 1 nanometer.<br />

The development and fabrication of the probe arrays made of<br />

micro-cantilevers is another important issue. Such arrays are<br />

12<br />

not today commercially available, and have therefore also<br />

been developed with<strong>in</strong> this project. S<strong>in</strong>ce the cantilever<br />

deflection detection is not <strong>in</strong>tegrated <strong>in</strong> the probe array, this<br />

latter can be passive, and thus be produced <strong>in</strong> a cheap way.<br />

Two different processes lead<strong>in</strong>g to two different and<br />

complementary k<strong>in</strong>ds of probes were developed. The first<br />

process relies on a sol-gel replication of the probe arrays <strong>in</strong> a<br />

polymeric structure, which are foreseen as disposable probe<br />

arrays to be used for parallel force spectroscopy <strong>in</strong> biology.<br />

The second process is based on micromach<strong>in</strong><strong>in</strong>g of silicon<br />

wafer, and enables the production of cantilever with sharp tips<br />

dedicated to high resolution imag<strong>in</strong>g. Examples of realized<br />

probe arrays are shown <strong>in</strong> Figure 2.<br />

Figure 2: Left: Optical micrograph of a probe array fabricated by solgel<br />

replication process (scale bar: 500 µm). Right: Scann<strong>in</strong>g electron<br />

microscope micrograph of a silicon probe array fabricated by<br />

micromach<strong>in</strong><strong>in</strong>g.<br />

The ability of this <strong>in</strong>strument to operate AFM cantilever arrays<br />

opens new application doma<strong>in</strong>s, such as multi-parameter<br />

surface <strong>in</strong>vestigation us<strong>in</strong>g a probe array with different<br />

cantilever functionalities, parallel force spectroscopy with<br />

improved statistics, or large scale topographic imag<strong>in</strong>g. The<br />

application field covers:<br />

• Quality control: metrology, surface roughness, defect<br />

analysis.<br />

• Biological and medical applications: parallel cell<br />

<strong>in</strong>dentation (determ<strong>in</strong>ation of the cell elasticity), parallel<br />

force spectroscopy to measure cell-cell <strong>in</strong>teraction or<br />

antibody-antigen b<strong>in</strong>d<strong>in</strong>g (to detect the presence of the<br />

target molecule on the receptor molecule <strong>in</strong> aff<strong>in</strong>ity<br />

assays).<br />

• Large scale imag<strong>in</strong>g: topographic characterization at a<br />

nanometric range, large scale surface studies such as<br />

friction or elasticity with picoNewton resolution.<br />

The partial support of the Swiss Federal Office for Education<br />

and Science (OFES) <strong>in</strong> the framework of the EC-funded<br />

project NaPa (Contract no. NMP4-CT-2003-500120) is<br />

gratefully acknowledged.


MicroStruc – Integrated Optical Polymer Platform, Low Cost Assembly and Packag<strong>in</strong>g<br />

A. Stump, P. Schüepp, T. Overstolz, C. Bosshard, U.Gubler<br />

An <strong>in</strong>tegrated optics platform based on polymers as a low-cost alternative to glass and semiconductor waveguides has been developed from the<br />

design to the complete assembly and packag<strong>in</strong>g of the devices.<br />

Planar lightwave circuits (PLCs) are replac<strong>in</strong>g optical modules<br />

with s<strong>in</strong>gle elements assembled together more and more. The<br />

<strong>in</strong>tegration of optical functionalities <strong>in</strong> a planar design with<br />

batch process<strong>in</strong>g can be seen analogous to the shift from<br />

s<strong>in</strong>gle electronic components to <strong>in</strong>tegrated microelectronics.<br />

Unlike <strong>in</strong> microelectronics no standard material exists like<br />

silicon. Although silica-on-silicon PLCs are well established<br />

they are still rather expensive as the process<strong>in</strong>g and<br />

packag<strong>in</strong>g is costly. Therefore, polymer PLCs potentially<br />

provide a promis<strong>in</strong>g alternative if low cost over the whole<br />

manufactur<strong>in</strong>g process can be obta<strong>in</strong>ed.<br />

The polymer PLC technology platform developed <strong>in</strong> the past<br />

years at <strong>CSEM</strong> addresses these issues:<br />

• The waveguide material can be produced <strong>in</strong>expensively <strong>in</strong><br />

volumes.<br />

• The structur<strong>in</strong>g of the PLC is based on direct UVpattern<strong>in</strong>g,<br />

which saves cost compared to the traditional<br />

dry-etch process (as e.g. silica-on silicon technology)<br />

• The assembly is carried out passively <strong>in</strong> a pick-and-place<br />

process without cost and work <strong>in</strong>tensive active alignment<br />

• The encapsulation of the assembly is done by a mold<strong>in</strong>g<br />

process similar to the electronics and IC <strong>in</strong>dustry.<br />

Figure 1: Sketch of encapsulation approach: the assembly is <strong>in</strong>serted<br />

<strong>in</strong> the pre-form and filled with a sealant polymer. To reduce stress on<br />

fibers boots are provided on both ends.<br />

The encapsulation of PLC assemblies is an important part of<br />

the process. Special preforms were designed and <strong>in</strong>jection<br />

molded. The trough is a LCP (liquid crystal polymer), which<br />

acts as a barrier for gas or humidity to diffuse through the<br />

package wall. The feedthroughs for the fibers are U-shaped<br />

and the fiber boots molded from silicone fit exactly <strong>in</strong>to these<br />

U-holes. At the end of the boots a small hole allows thread<strong>in</strong>g<br />

of the fibers (Figure 1). Due to this design the <strong>in</strong>sertion of the<br />

assembled PLC <strong>in</strong>to the preform is straightforward. The boots<br />

are put on the fibers and then pushed <strong>in</strong>to the preform.<br />

Figure 2: Sketch of the approach to package PLCs with electrodes.<br />

As the PLC is wider than the carrier, the electrodes on the PLC are<br />

still accessible.<br />

In the case of thermo-optic devices the electrodes on the PLC<br />

have to be contacted after the flip-chip step. In this approach<br />

the PLC is designed wider than the carrier underneath so that<br />

the electrodes are still accessible after the flip-chip step<br />

(Figure 2). The overall process is simple and low cost. Before<br />

mold<strong>in</strong>g the assembly <strong>in</strong>to the package, electrical legs can be<br />

connected to the PLC (Figure 3).<br />

Figure 3: Model of a thermo-optic PLC with electronic contacts <strong>in</strong> the<br />

encapsulated module built up as described above.<br />

Although the ma<strong>in</strong> application area is the telecom market, a<br />

low-cost <strong>in</strong>tegrated optics platform with an adequate<br />

packag<strong>in</strong>g technology is also <strong>in</strong>terest<strong>in</strong>g for other fields.<br />

Various special applications <strong>in</strong> the area of sens<strong>in</strong>g are<br />

possible such as <strong>in</strong>tegrated spectrometers or <strong>in</strong>terferometers,<br />

hybrids with micro-fluidics <strong>in</strong> life sciences, or layouts for gas<br />

sens<strong>in</strong>g.<br />

This work has been supported by the Micro Center Central<br />

Switzerland MCCS.<br />

13


Encoder – Nanometric Optical Absolute Position Encoder<br />

P. Masa, E. Franzi, J. Pierer, P. Glocker, J.-M. Mayor, D. Fengels<br />

An optical absolute position encoder pr<strong>in</strong>ciple is presented which can comb<strong>in</strong>e many attractive features such as 24 bit resolution per 100 mm,<br />

compact design, optic-less shadow imag<strong>in</strong>g, sampl<strong>in</strong>g rate exceed<strong>in</strong>g 1MHz and robustness. The detectable displacement is several hundred times<br />

smaller than the wavelength of the light and only 10 times larger than the diameter of the silicon atom.<br />

Comb<strong>in</strong><strong>in</strong>g all the attractive features <strong>in</strong> a position encoder<br />

such as high-resolution, absolute, compact, high-speed is a<br />

real challenge today. Such an encoder clearly has a great<br />

potential <strong>in</strong> various fields like robotics, automation, mach<strong>in</strong>e<br />

tools, automotive, aerospace; just to mention a few.<br />

An optical absolute position encoder technology developed at<br />

<strong>CSEM</strong> has the potential to comb<strong>in</strong>e all these attractive<br />

features. Nanometric resolution has been established us<strong>in</strong>g<br />

ultra-compact USB camera, l<strong>in</strong>ear glass scale, LED<br />

illum<strong>in</strong>ation, without the need for optics, as shown <strong>in</strong> Figure 1.<br />

Note that the detectable displacement is several hundred<br />

times smaller than the wavelength of the light and only<br />

10 times larger than the diameter of the silicon atom. Highspeed<br />

opto-ASIC implementation by <strong>CSEM</strong> proved that<br />

sampl<strong>in</strong>g frequency of such an encoder may exceed 1MHz [1] .<br />

Optic-less shadow-imag<strong>in</strong>g permits compact design and major<br />

cost reduction.<br />

Figure 1: Shadow imag<strong>in</strong>g experimental setup consist<strong>in</strong>g of a<br />

compact USB camera, transparent scale and LED illum<strong>in</strong>ation (LED<br />

not shown here)<br />

Coarse absolute position measurement is obta<strong>in</strong>ed by<br />

decod<strong>in</strong>g the subsection of the Manchester code (typically<br />

8-16 bits), which is seen at a given position by the sensor.<br />

F<strong>in</strong>e relative position measurement is atta<strong>in</strong>ed by Fourier<br />

analysis of the regular grat<strong>in</strong>g at the fundamental frequency.<br />

Robustness, precision and very high resolution is guaranteed<br />

by heavily oversampl<strong>in</strong>g the pattern (typically 8-16 pixels per<br />

pattern period) and rely<strong>in</strong>g on the phase <strong>in</strong>formation which is<br />

distributed <strong>in</strong> the entire image among hundreds or thousands<br />

of pixels. The f<strong>in</strong>e measurement pr<strong>in</strong>ciple is shown <strong>in</strong><br />

Figure 3. One possible <strong>in</strong>terpretation of the method is that<br />

each pixel represents one po<strong>in</strong>t <strong>in</strong> the “cloud of<br />

measurements” and the center of gravity gives the f<strong>in</strong>al result.<br />

The comb<strong>in</strong>ation of the coarse and the f<strong>in</strong>e measurements<br />

yields very high-resolution absolute position, typically 24 bits<br />

for a Ø 32 mm rotary or 100 mm l<strong>in</strong>ear encoder. The<br />

maximum atta<strong>in</strong>able resolution scales l<strong>in</strong>early with the<br />

diameter of the rotary or with the length of the l<strong>in</strong>ear encoder.<br />

14<br />

A flexible, customizable experimental/demonstrator platform is<br />

under development, which is based on the icycam chip [2] . The<br />

image captured by a 320 x 240 high dynamic range pixel array<br />

is processed <strong>in</strong> real-time on the same chip by the 32-bit icyflex<br />

processor. Prototyp<strong>in</strong>g of rotary, l<strong>in</strong>ear and even 2D position<br />

encoders can be supported by this platform. One of <strong>CSEM</strong><br />

goals is to demonstrate the potential <strong>in</strong> robotics, to comb<strong>in</strong>e<br />

the technologies of the absolute encoder and the PreciAmp<br />

servo-drive to build the <strong>CSEM</strong> next generation direct-drive<br />

MicroDelta robot.<br />

Figure 2: Image of a double-track l<strong>in</strong>ear scale consist<strong>in</strong>g of a 12 bit<br />

Manchester code and 100 µm regular grat<strong>in</strong>g. Image obta<strong>in</strong>ed by<br />

ultra-compact USB camera and shadow imag<strong>in</strong>g.<br />

Figure 3: Robust, high-precision position measurement pr<strong>in</strong>ciple<br />

[1] A. Mortara, et al., “An Opto-Electronic, 18-bit/revolution Absolute<br />

Angle and Torque Sensor, ISSCC 2000 Digest<br />

[2] C. Arm, et al., “icycam, a System-On Chip (SOC) for Vision<br />

Applications”, <strong>in</strong> this report, page 30


RISE – The Rich Sens<strong>in</strong>g Concept<br />

A. Hutter, D. Beyeler, A. Brenzikofer, E. Grenet, F. Rampogna, L. von Allmen, C. Urban, P. Nussbaum<br />

With<strong>in</strong> the RISE project a camera-based wireless sensor network for people detection and track<strong>in</strong>g purposes has been implemented. This sensor<br />

network, which is based on three vision sensors and two 3D time-of-flight cameras, is an ideal test-bed for long-term operational tests and the<br />

development of advanced algorithms. Furthermore, the <strong>in</strong>stallation is well suited for life demonstration purposes.<br />

The multi-discipl<strong>in</strong>ary project RISE targets the elaboration of a<br />

heterogeneous sensor network for the purpose of people<br />

detection and track<strong>in</strong>g with<strong>in</strong> home and build<strong>in</strong>g areas. As a<br />

result of the first project phase, which ended <strong>in</strong> <strong>2007</strong>, a<br />

demonstrator has been implemented <strong>in</strong> the <strong>CSEM</strong> entrance<br />

hall. The demonstrator is based on two different camera<br />

types: low power vision sensors [1] and 3D time-of-flight<br />

cameras [2] . Vision sensors exploit the contrast <strong>in</strong>formation of<br />

the observed scene and are dist<strong>in</strong>guished by their huge<br />

dynamic range of 100 dB as well as the low power<br />

consumption of 80 mW. 3D time-of-flight cameras, on the<br />

other hand, provide a three-dimensional representation of the<br />

observed scene. With<strong>in</strong> the RISE project the vision sensors<br />

are used to detect and track persons and objects whereas the<br />

3D time-of-flight cameras are utilized <strong>in</strong> order to provide<br />

additional height <strong>in</strong>formation of the detected objects. Further<br />

essential components of the system are the wireless<br />

communication l<strong>in</strong>k together with the data fusion entity. In this<br />

article the basic concept together with the implemented <strong>in</strong>terwork<strong>in</strong>g<br />

of the cameras and the wireless system is described.<br />

The data fusion algorithm and the related issues are subject to<br />

a separate article [3] .<br />

The demonstration test-bed covers an area of approximately<br />

150 m 2 . The vision sensors operate with a 2.6 mm fish-eye<br />

objective, which <strong>in</strong> turn provides a relatively large field of<br />

vision, e.g. the area that is observed by one particular camera.<br />

As such, the vision sensors have overlapp<strong>in</strong>g fields of vision<br />

and cover the entire entrance area rang<strong>in</strong>g from the entrance<br />

over the two entrance side areas to the reception desk. The<br />

field of vision of the 3D time-of-flight cameras, which require<br />

active illum<strong>in</strong>ation, is limited to an area with a diameter of<br />

approximately 2 meters. One 3D camera is positioned close to<br />

the entrance whereas the second 3D camera is located right<br />

<strong>in</strong> front of the reception desk. The network coord<strong>in</strong>ator, which<br />

acts as wireless data concentrator, is located <strong>in</strong> a closed box<br />

with wooden shield<strong>in</strong>g right under the central monitor <strong>in</strong> the<br />

reception hall. An illustration of the disposition of the different<br />

vision sensors and the 3D cameras is presented <strong>in</strong> Figure 1.<br />

Figure 1: Disposition of the vision sensors and 3D cameras <strong>in</strong> the<br />

<strong>CSEM</strong> entrance hall<br />

A new sensor platform that is capable of host<strong>in</strong>g both, the<br />

vision sensor as well as the 3D camera, and that provides the<br />

required process<strong>in</strong>g and communication resources has been<br />

designed. The sensor platform <strong>in</strong>cludes a Blackf<strong>in</strong> 533 digital<br />

signal processor runn<strong>in</strong>g at 500 MHz, 2 MB Flash and 32 MB<br />

SDRAM memory, an Ethernet connection for test and<br />

debugg<strong>in</strong>g purposes and a hardware socket that connects<br />

different wireless modules. For the purpose of the RISE<br />

project the use of the 2.4 GHz ZorgWave module [4] was<br />

selected, s<strong>in</strong>ce the communication characteristics of the<br />

module together with the associated protocol stack respond<br />

ideally to the throughput and delay requirements of the<br />

system.<br />

The communication concept foresees that each sensor node<br />

communicates the position data of each detected object<br />

together with a time stamp and some additional object<br />

<strong>in</strong>formation – <strong>in</strong> total around 100 Bytes – to the network<br />

coord<strong>in</strong>ator. Transmission at regular <strong>in</strong>tervals (about every<br />

100 ms) is mandatory <strong>in</strong> order to guarantee track<strong>in</strong>g<br />

consistency. In addition to this regular traffic, specific data<br />

requests (as for <strong>in</strong>stance the transmission of the currently<br />

observed image) should be possible. This results <strong>in</strong> a required<br />

data rate of approximately 8 kbps for the regular traffic of each<br />

sensor node plus some additional bandwidth for the irregular<br />

data request traffic. In order to comply with these<br />

requirements the IEEE standard 802.15.4 (which is identical to<br />

the basic protocol layers of the ZigBee system) was selected.<br />

The network operates <strong>in</strong> beacon-enabled mode with a beacon<br />

<strong>in</strong>terval of 123 ms and the guaranteed time slot (GTS) option<br />

of the standard is used to transmit the regular traffic of up to<br />

seven sensor nodes. The GTS option allows contention-free<br />

channel access mean<strong>in</strong>g that data packets are transmitted<br />

with guaranteed throughput and delay. It should be noted that<br />

the GTS feature, which is not a mandatory option <strong>in</strong> the<br />

standard, was implemented <strong>in</strong> the <strong>CSEM</strong> K15 stack. The GTS<br />

portion requires approximately 44% of the available<br />

transmission time between network beacons so that<br />

approximately 67 ms rema<strong>in</strong> available to accommodate<br />

irregular data requests. This corresponds to a susta<strong>in</strong>able<br />

data rate of approximately 15 kbps <strong>in</strong> situations with multiple<br />

simultaneous data requests.<br />

The RISE project demonstrator is fully operational and can be<br />

visited at <strong>CSEM</strong> upon request.<br />

[1] S. Gyger, et al., “Low-power Vision Sensors”, <strong>CSEM</strong> Scientific<br />

and Technical Report 2004, page 17<br />

[2] T. Oggier, et al., “M<strong>in</strong>iaturized 3D time-of-flight Camera with USB<br />

Interface”, <strong>CSEM</strong> Scientific and Technical Report 2002, page 37<br />

[3] E. Franzi, et al., “Data Fusion for Wireless Distributed Track<strong>in</strong>g<br />

Systems”, <strong>in</strong> this report, page 24<br />

[4] <strong>CSEM</strong> Wireless Sensor Networks, www.csem.ch/wsn<br />

15


PackTime – Zero-Level Packag<strong>in</strong>g of Silicon Time-base<br />

D. Ruffieux, J. Baborowski, M. Fretz, S. Grossmann, C. Henzel<strong>in</strong>, I. Kjelberg, T.C. Le, J.-M. Mayor, A. Pezous, A.-C. Pliska,<br />

A. Schifferle, G. Sp<strong>in</strong>ola Durante, Y. Welte<br />

The development of a thermally compensated silicon time-base and the associated packag<strong>in</strong>g to yield a m<strong>in</strong>iature vacuum-sealed cavity around the<br />

resonator requires multidiscipl<strong>in</strong>ary competences <strong>in</strong> the fields of IC, MEMS design/fabrication, packag<strong>in</strong>g, f<strong>in</strong>ite element model<strong>in</strong>g and metrology.<br />

The low power frequency and tim<strong>in</strong>g market relies almost<br />

exclusively on quartz resonators to derive precise and low<br />

ag<strong>in</strong>g references thanks to the availability of crystal cuts with<br />

null first order temperature coefficient on frequency (TCF).<br />

Silicon on the other hand appears quite attractive from a<br />

m<strong>in</strong>iaturization perspective but suffers from a severe<br />

drawback with a TCF close to -30 ppm/°C whatever the<br />

crystal orientation. Consequently, electronic compensation<br />

that can possibly be comb<strong>in</strong>ed with structural<br />

compensation [1, 2] appears as one of the most promis<strong>in</strong>g<br />

workarounds to reach performances similar or better than that<br />

of AT-cut quartz crystal achiev<strong>in</strong>g null first and second TCF.<br />

The development of such a high performance, m<strong>in</strong>iature and<br />

low power real time silicon clock (RTC) together with the<br />

associated packag<strong>in</strong>g technology entails multidiscipl<strong>in</strong>ary<br />

competences <strong>in</strong> IC and MEMS design/fabrication, packag<strong>in</strong>g,<br />

FEM and metrology. The <strong>activities</strong> ongo<strong>in</strong>g <strong>in</strong> each of these<br />

fields are described <strong>in</strong> the follow<strong>in</strong>g sections after an overview<br />

of the system is presented. Figure 1 shows a cross-section of<br />

the envisioned m<strong>in</strong>iature whole silicon time-base that consists<br />

of a rear side packaged silicon resonator <strong>in</strong>tegrated on a SOI<br />

substrate that is assembled and <strong>in</strong>terconnected by a flip-chip<br />

and reflow process to an IC capp<strong>in</strong>g die generat<strong>in</strong>g the<br />

thermally compensated clock. The reflow process is<br />

performed under vacuum and should ensure hermeticity of the<br />

cavity that is formed around the resonator to take advantage<br />

of the high quality factor of the latter and m<strong>in</strong>imize any ag<strong>in</strong>g<br />

of the time-base.<br />

Figure 1: Cross-section of the m<strong>in</strong>iature zero-level packaged silicon<br />

timebase with a vacuum-sealed cavity around the resonator<br />

A FEM CAD model of the complete resonator <strong>in</strong>clud<strong>in</strong>g its<br />

package has been developed to help determ<strong>in</strong>e the sensitive<br />

parameters that affect the resonator frequency dur<strong>in</strong>g and<br />

follow<strong>in</strong>g the assembly process and could then be responsible<br />

for excessive ag<strong>in</strong>g. Thermo-mechanical simulations are also<br />

very valuable to predict the performance of the compensated<br />

time base that relies on a good match<strong>in</strong>g of the resonator and<br />

sensor temperature and that may be affected dur<strong>in</strong>g thermal<br />

transients. The lack of availability of precise data for the<br />

stiffness of the materials <strong>in</strong>volved <strong>in</strong> the fabrication of the<br />

resonators and their temperature dependency has motivated<br />

the development of an optical metrology bank [3] and dedicated<br />

test structures that should help future resonator design and<br />

allow more precise FEM analysis once measurement and<br />

extraction is completed.<br />

16<br />

The resonator exploits a high-Q <strong>in</strong>-plane, longitud<strong>in</strong>al,<br />

extensional mode and is formed of a T-shaped silicon beam,<br />

typically 1000 x 250 µm 2 , anchored at its base end, with an<br />

<strong>in</strong>ertial mass at each extremity. Figure 2 shows some<br />

extensional resonators after process<strong>in</strong>g. The driv<strong>in</strong>g voltage is<br />

applied on the piezoelectric layer only <strong>in</strong> the central part of the<br />

beam. The resonators are built from a (100) oriented Silicon<br />

on Insulator (SOI) substrate. Structure of the resonator<br />

consists ma<strong>in</strong>ly of s<strong>in</strong>gle crystal silicon that is oxidized on both<br />

sides, and that is topped by AlN and its electrodes.<br />

Polycrystall<strong>in</strong>e piezoelectric (002) AlN films are deposited by<br />

magnetron sputter<strong>in</strong>g on Pt (111) electrode. A metal r<strong>in</strong>g is<br />

patterned around the resonators for subsequent assembly<br />

with the capp<strong>in</strong>g wafer.<br />

Figure 2: Microphotograph of fabricated resonators<br />

Si resonators <strong>in</strong> extensional mode, oriented along with<br />

a thickness of 105 microns, and activated by 2 micrometers of<br />

AlN, exhibit a Q factor under vacuum of 140000 and k 2 eff<br />

around 0.05%. Q factor at atmospheric pressure is up to<br />

20000, and <strong>in</strong>creases l<strong>in</strong>early when the pressure decreases.<br />

In order to obta<strong>in</strong> the maximum Q factor the pressure must be<br />

below 0.1 mbar. The measured impedance <strong>in</strong> air and under<br />

vacuum is plotted <strong>in</strong> Figure 3. The resonance frequency of<br />

these resonators is close to 960 kHz, the motional resistance<br />

is <strong>in</strong> the range of 200 Ohm and the l<strong>in</strong>ear TCF is -28 ppm/°C.<br />

Figure 3: Impedance plot of high Q resonator <strong>in</strong> air and vacuum


In order to optimize the performances of the resonator and<br />

guarantee long-term frequency stability, one needs to perform<br />

hermetic packag<strong>in</strong>g under reduced pressure. The rear side of<br />

the resonators is closed hermetically by low temperature<br />

fusion bond<strong>in</strong>g (with Si wafer) or by anodic bond<strong>in</strong>g (with<br />

Pyrex). Both methods require an extremely smooth surface of<br />

the wafer.<br />

The resonator chips are then vacuum encapsulated us<strong>in</strong>g<br />

silicon caps (ultimately work<strong>in</strong>g ICs) and AuSn (80% wt Au)<br />

solder<strong>in</strong>g technology. Metallic alloy materials provide both<br />

low-permeability seal<strong>in</strong>g characteristics as well as electrical<br />

conduction for the resonator driv<strong>in</strong>g <strong>in</strong>terconnects. The AuSn<br />

electroplat<strong>in</strong>g process was carried out at the Fraunhofer<br />

Institute for Reliability and Micro<strong>in</strong>tegration (IZM FhG).<br />

Vacuum seal<strong>in</strong>g of the resonator chips is done through a twostep<br />

process:<br />

• Tack<strong>in</strong>g of the seal<strong>in</strong>g cap on the resonator chip at a<br />

temperature below the AuSn melt<strong>in</strong>g po<strong>in</strong>t us<strong>in</strong>g flip-chip<br />

• Reflow under vacuum <strong>in</strong> a dedicated oven<br />

The tack<strong>in</strong>g methodology proved to be successful. Taguchi<br />

runs us<strong>in</strong>g thermo-compression parameters (temperature,<br />

force, dwell<strong>in</strong>g time) as variable experimental factors were<br />

carried out.<br />

Reflow process development, where result<strong>in</strong>g vacuum level is<br />

monitored through Q factor measurements, is on-go<strong>in</strong>g.<br />

A differential oscillator structure has been chosen to m<strong>in</strong>imize<br />

the circuit power dissipation despite the large shunt<br />

capacitance of the resonator (~10 pF). A programmable<br />

fractional divider is used to generate a thermally compensated<br />

32768 Hz clock from the 960 kHz oscillator signal that drifts by<br />

-28 ppm/°C. The output of a high resolution temperature<br />

sensor <strong>in</strong>tegrated on the same die is used by a sequencer to<br />

implement an open-loop compensation algorithm that requires<br />

<strong>in</strong>itial calibration of the resonator absolute frequency and<br />

thermal drift. The state mach<strong>in</strong>e has been implemented on an<br />

external FPGA to yield greater flexibility. Communication with<br />

the IC to read the thermal sensor <strong>in</strong>dication and update the<br />

fractional divider ratio is ensured via a serial bus <strong>in</strong>terface.<br />

Figure 4 shows a photograph of a m<strong>in</strong>iature packaged<br />

resonator that has been glued above the IC mounted over a<br />

pr<strong>in</strong>ted circuit board. The close vic<strong>in</strong>ity of the resonator and<br />

the thermal sensor located with<strong>in</strong> the IC m<strong>in</strong>imize any thermal<br />

gradient that would affect the compensation accuracy.<br />

Extensive test<strong>in</strong>g of the IC with the m<strong>in</strong>iature packaged<br />

resonators will be <strong>in</strong>itiated once satisfactory vacuum levels are<br />

reached with<strong>in</strong> the micro-cavity to assess the performance of<br />

the thermo-compensated time-base.<br />

Figure 4: Photograph show<strong>in</strong>g a chip on board assembly of a<br />

m<strong>in</strong>iature time-base<br />

[1] J. Baborowski, et al., “Piezoelectrically Activated Silicon<br />

Resonators”, IEEE Frequency Control Symposium, 1210-1213<br />

(June <strong>2007</strong>)<br />

[2] B. Kim, et al., “Si-SiO2 Composite MEMS Resonators <strong>in</strong> CMOS<br />

Compatible Wafer-Scale Th<strong>in</strong>-Film Encapsulation”, IEEE<br />

Frequency Control Symposium, 1214-1219 (June <strong>2007</strong>)<br />

[3] J.M. Mayor, et al., “Micro-Vibration Analysis Setup for MEMS<br />

and MOEMS Characterization”, <strong>in</strong> this report, page 72<br />

17


TissueOptics – Portable SpO2 Monitor: a Fast Response Approach Tested <strong>in</strong> an Altitude<br />

Chamber<br />

C. Verjus, V. Neuman, J. Solà I Caros, O. Grossenbacher, S. Dasen, O. Chételat<br />

Altitude is hazardous for the human body, with the oxygen delivery to the cells be<strong>in</strong>g jeopardized. The prototype of an advanced oxygen saturation<br />

monitor<strong>in</strong>g sensor, embedded <strong>in</strong> a commercial earphone, has been successfully tested <strong>in</strong> an altitude chamber.<br />

Oxygen is vital to ma<strong>in</strong>ta<strong>in</strong> the basic metabolism of cells <strong>in</strong> the<br />

human body: <strong>in</strong> the absence of oxygen for a prolonged<br />

amount of time, cells would die. In critical situations like<br />

aviation, severe hypoxia periods reduce oxygen delivery,<br />

lead<strong>in</strong>g subjects to unconsciousness and compromis<strong>in</strong>g the<br />

security of the crew. Thus, cont<strong>in</strong>uous monitor<strong>in</strong>g of oxygen<br />

delivery to cells is a relevant <strong>in</strong>dicator of the health of a<br />

person.<br />

For healthy people under normal oxygen delivery situations,<br />

about 98% of haemoglob<strong>in</strong> (Hb) <strong>in</strong> the blood comb<strong>in</strong>es with<br />

oxygen to form oxy-haemoglob<strong>in</strong> (HbO2). The so-called<br />

arterial oxygen saturation (SaO2) is calculated as the ratio of<br />

HbO2 to total haemoglob<strong>in</strong> (Hb + HbO2). When this saturation<br />

parameter is assessed by means of optical non-<strong>in</strong>vasive<br />

techniques it is commonly known as SpO2.<br />

Pulse oximetry is a widespread, non-<strong>in</strong>vasive method used <strong>in</strong><br />

cl<strong>in</strong>ical environments to determ<strong>in</strong>e arterial oxygen saturation.<br />

Two light beams of different wavelengths are <strong>in</strong>jected <strong>in</strong>to the<br />

sk<strong>in</strong> surface and transmitted or backscattered parts of them<br />

are retrieved. The technique is then based on the photoplethysmographic<br />

effect (measurement of a change of volume<br />

by optical means) and on the local characteristics of the<br />

absorption curves of hemoglob<strong>in</strong> and oxy-hemoglob<strong>in</strong> at two<br />

different wavelengths.<br />

SpO2 sensor products are available today, but they are<br />

<strong>in</strong>compatible with comfortable and non-obtrusive long-term<br />

monitor<strong>in</strong>g. <strong>CSEM</strong> has launched a strategic activity to develop<br />

oximeter probes for different body positions (f<strong>in</strong>ger r<strong>in</strong>g, ear<br />

cartilage, sternum, etc.).<br />

Figure 1: Comparison between the blood oxygen saturation given by<br />

the reference sensor from Biopac and calculated by the <strong>CSEM</strong><br />

sensor dur<strong>in</strong>g the whole test.<br />

TissueOptics is a <strong>CSEM</strong> Multidiscipl<strong>in</strong>ary Integrated Project<br />

aim<strong>in</strong>g at improv<strong>in</strong>g its expertise of non-<strong>in</strong>vasive optical<br />

measurements <strong>in</strong> human tissue. One of the <strong>in</strong>novations<br />

already developed <strong>in</strong> this project is a dedicated electronic<br />

18<br />

<strong>in</strong>clud<strong>in</strong>g a servo-controlled loop and an offset correction to<br />

improve the dynamic range of pulse oximetry sensors.<br />

Figure 2: Test subject <strong>in</strong> the cockpit mock-up and computer logg<strong>in</strong>g<br />

of the reference blood oxygen saturation value from the Biopac and<br />

the value measured with the <strong>CSEM</strong> sensor.<br />

The measurements were conducted dur<strong>in</strong>g the cockpit<br />

ventilation assessment tests of SolarImpulse [1] <strong>in</strong> the altitude<br />

chamber of the Fliegerärztliches Institut der Luftwaffe<br />

FAI/AMC Schweiz <strong>in</strong> Dübendorf. Two tests were performed on<br />

this occasion with two different test subjects. The tests aimed<br />

at obta<strong>in</strong><strong>in</strong>g <strong>in</strong> the shortest time possible the cockpit air<br />

composition for oxygen and carbon dioxide, as it will change<br />

at high altitude. Each test lasted about 4 hours. The time for a<br />

climb to 3000 m was around 20-30 m<strong>in</strong>utes. The altitude<br />

chamber is <strong>in</strong>ternally ventilated, <strong>in</strong> order to provide normal<br />

atmosphere conditions <strong>in</strong> the environment of the cockpit mock<br />

up.<br />

A Biopac Sensor, us<strong>in</strong>g a f<strong>in</strong>gertip probe, connected to a<br />

laptop computer logg<strong>in</strong>g the measured values acts as a<br />

reference for the <strong>CSEM</strong> SpO2 sensor. The <strong>CSEM</strong> SpO2<br />

sensor uses an earlobe probe <strong>in</strong>tegrated <strong>in</strong>to an earphone.<br />

The results calculated <strong>in</strong> real time by the <strong>CSEM</strong> sensor are<br />

fully <strong>in</strong> agreement with the reference values from the Biopac.<br />

[1] www.solarimpulse.com


TUGON – Compact MEMS-based Spectrometers for Infra-Red Spectroscopy<br />

M. Tormen, R. Lockhart, J-M, Mayor, R. P. Stanley<br />

Deformable MEMS diffraction grat<strong>in</strong>gs have great promise as tun<strong>in</strong>g elements for external cavity lasers and for compact spectrometers. The<br />

challenge is to make high efficiency tunable MEMS grat<strong>in</strong>gs and <strong>in</strong>corporate them <strong>in</strong>to practical devices. <strong>CSEM</strong> has successfully designed,<br />

fabricated and tested MEMS grat<strong>in</strong>gs. Their spectral response has been tested and the potential to design ultra-compact spectrometers based<br />

around this technology has been shown.<br />

In Optical MEMS, the family of diffractive MEMS is <strong>in</strong>terest<strong>in</strong>g<br />

for a wide range of applications because they can be<br />

compact, fast and their narrow spectra response can be used<br />

<strong>in</strong> spectrometers and for tunable lasers [1] . Commercially<br />

available diffractive MEMS are used <strong>in</strong> displays, <strong>in</strong><br />

spectroscopy and optical telecommunications [2, 3] .<br />

Optical grat<strong>in</strong>g<br />

Comb drives<br />

Figure 1: Overview of a tunable MEMS grat<strong>in</strong>g. The white dotted<br />

region denotes the optical grat<strong>in</strong>g which is actuated by four sets of<br />

electrostatic comb drives.<br />

<strong>CSEM</strong> has been develop<strong>in</strong>g a tunable MEMS grat<strong>in</strong>g<br />

technology, and this report demonstrates how it could be<br />

<strong>in</strong>corporated <strong>in</strong>to a compact spectrometer. In the<br />

spectrometer, the MEMS grat<strong>in</strong>g is stretched like an<br />

accordion. The change <strong>in</strong> the size of the grat<strong>in</strong>g changes<br />

directly the period of the grat<strong>in</strong>g and hence the wavelength<br />

tun<strong>in</strong>g of the grat<strong>in</strong>g. This method of tun<strong>in</strong>g is completely<br />

different from normal spectrometers where the grat<strong>in</strong>g is<br />

rotated. The advantage of MEMS grat<strong>in</strong>g is that the complex<br />

mechanics for controll<strong>in</strong>g the rotation of the grat<strong>in</strong>g <strong>in</strong> the<br />

standard configuration is replaced by simple electrostatic<br />

comb drives which stretch the MEMS grat<strong>in</strong>g.<br />

Figure 1 shows a processed MEMS device [4] which comprises<br />

the tunable optical grat<strong>in</strong>g and the electrostatic comb drives<br />

which stretch the grat<strong>in</strong>g. The device has been fabricated<br />

us<strong>in</strong>g standard MEMS manufactur<strong>in</strong>g techniques. The<br />

complete die measures 6 x 3 mm, with a 1 mm x 1 mm<br />

grat<strong>in</strong>g. The grat<strong>in</strong>g itself is formed from free-stand<strong>in</strong>g beams<br />

with a 12 µm period and a 50% duty-cycle. The beams are<br />

attached to each other us<strong>in</strong>g leaf spr<strong>in</strong>gs. So that it can be<br />

stretched <strong>in</strong> its plane, the grat<strong>in</strong>g is free stand<strong>in</strong>g.<br />

One of the challenges is to make a MEMS grat<strong>in</strong>g that has a<br />

high diffraction efficiency. A grat<strong>in</strong>g with a square profile is the<br />

easiest structure to manufacture but has only about 40%<br />

diffraction efficiency <strong>in</strong> the first order. In contrast, blazed<br />

grat<strong>in</strong>gs can have efficiencies close to unity. In order to<br />

achieve this, the grat<strong>in</strong>gs have been blazed us<strong>in</strong>g anisotropic<br />

KOH etch<strong>in</strong>g. This technique is widely used to make<br />

V-grooves. It yields smooth angled surfaces while ma<strong>in</strong>ta<strong>in</strong><strong>in</strong>g<br />

the mechanical properties of the grat<strong>in</strong>g beams. Extend<strong>in</strong>g<br />

this technology to a MEMS device has been a challenge. The<br />

MEMS grat<strong>in</strong>g shown <strong>in</strong> Figure 1 is actually a blazed grat<strong>in</strong>g.<br />

Figure 2 : A m<strong>in</strong>ature monochromator <strong>in</strong> the lab. The light is coupled<br />

<strong>in</strong>to and out of the grat<strong>in</strong>g (bottom centre) us<strong>in</strong>g a pair of fibres and<br />

collimat<strong>in</strong>g lenses. For scale the MEMS chip is 12 x 6 mm.<br />

The spectral response of the MEMS grat<strong>in</strong>g was measured<br />

us<strong>in</strong>g an optical spectrum analyser and a collimated white<br />

light source <strong>in</strong> a configuration shown <strong>in</strong> Figure 2. The optical<br />

system is extremely compact. The result<strong>in</strong>g spectra are shown<br />

for different drive voltages <strong>in</strong> Figure 3.<br />

A tun<strong>in</strong>g range of 3% and subnanometer l<strong>in</strong>ewidths have been<br />

achieved. Potentially 10% is achievable with the improved<br />

mechanical design. The spectral response can be better<br />

appreciated <strong>in</strong> Figure 4 taken for a 1.5 mm long fixed grat<strong>in</strong>g<br />

made with the same MEMS process technology. A 25 db<br />

rejection has been achieved experimentally.<br />

Efficiency (Normalized)<br />

1<br />

0.9<br />

0.8<br />

0.7<br />

0.6<br />

0.5<br />

0.4<br />

0.3<br />

0.2<br />

0.1<br />

0<br />

5 mm<br />

1505 1510 1515 1520<br />

Wavelength (nm)<br />

1525 1530 1535<br />

Figure 3: The spectral response of the MEMS grat<strong>in</strong>g shown <strong>in</strong><br />

Figure 1 for several different drive voltages rang<strong>in</strong>g from 0 to<br />

55 volts.<br />

A unique property of these MEMS grat<strong>in</strong>gs is that the<br />

efficiency of the grat<strong>in</strong>gs is high at all wavelengths. Although,<br />

the efficiency is strongly angular dependence, as the angle is<br />

never varied, <strong>in</strong> contrast to standard scann<strong>in</strong>g<br />

monochromator, it rema<strong>in</strong>s constant. This means that the<br />

same device can be used for a wide range of spectral regions<br />

from the UV to the mid-IR. This versatility is very promis<strong>in</strong>g for<br />

0V<br />

5V<br />

10V<br />

15V<br />

20V<br />

25V<br />

30V<br />

35V<br />

40V<br />

45V<br />

50V<br />

55V<br />

19


spectrometer applications so <strong>CSEM</strong> has targeted to m<strong>in</strong>iature<br />

monochromators as a demonstrator of this technology.<br />

The next stage of this work will be to move towards a fully<br />

packaged compact spectrometer. The near-IR has been<br />

chosen to demonstrate the technology, although ma<strong>in</strong><br />

potential applications are excepted to be <strong>in</strong> the mid IR.<br />

Several challenges rema<strong>in</strong>, such as <strong>in</strong>creas<strong>in</strong>g the tun<strong>in</strong>g<br />

range, control of stray light, etc. In addition all the system<br />

related issues such as drive electronics and the mechanical<br />

hous<strong>in</strong>g need to be f<strong>in</strong>alized.<br />

20<br />

1.0<br />

0.8<br />

0.6<br />

0.4<br />

0.2<br />

0.0<br />

Measured (Normalized)<br />

Theory ‐ NA = 0.0011<br />

810 812 814 816 818 820 822 824<br />

Wavelength (nm)<br />

Figure 4: Optical characterization and simulation. Optical<br />

characterization has proven the expected high optical performance: a<br />

l<strong>in</strong>ewidth of 0.4 nm at 800 nm has been demonstrated for a 1.5 mm<br />

long blazed grat<strong>in</strong>g.<br />

The MEMS grat<strong>in</strong>gs can also be used as the tun<strong>in</strong>g element<br />

for external cavity lasers. They have many advantages over<br />

standard grat<strong>in</strong>gs because the mechanics to tune the grat<strong>in</strong>g<br />

is built-<strong>in</strong> so the total module can be compact, rapid and<br />

eventually low cost. The grat<strong>in</strong>gs are currently be<strong>in</strong>g tested<br />

with external cavity tunable Quantum Cascade Lasers [5] . A<br />

success here will lead to the very compact tunable Mid-IR<br />

source.<br />

<strong>CSEM</strong> thanks NCCR Quantum Photonics that partly funded<br />

this work.<br />

[1] H. Schenk, et al., “Photonic microsystems: an enabl<strong>in</strong>g<br />

technology for light deflection and modulation”, SPIE, vol.5348,<br />

Nr 1, (2004), 7-21<br />

[2] www.siliconlight.com<br />

[3] www.polychromix.com/html/products.htm<br />

[4] M. Tormen, et al., “Deformable MEMS grat<strong>in</strong>g for wide tunability<br />

and high operat<strong>in</strong>g speed”, SPIE, vol. 6114, (2006), 61140C<br />

[5] C. Sirtori, J. Faist, F. Capasso, “The quantum cascade laser. A<br />

device based on two-dimensional electronic subbands”, Pure<br />

and Applied Optics, vol. 7, Nr. 2, (March 1998), 373-81


Solar Islands – A Novel Approach to Cost Efficient Solar Power Plants<br />

T. H<strong>in</strong>derl<strong>in</strong>g, Y. Allani • , M. Wannemacher, U. Elsasser ••<br />

Exist<strong>in</strong>g Solar Power Plants are too small, need complex constructions and drive systems to follow the sun’s altitude and have a limited use factor<br />

of the area. Therefore the generated energy is too expensive. The target of the new concept “Solar Islands” is to improve all these cost factors and<br />

to end up with a cost per kWh which is competitive with today’s energy costs. Furthermore the design as a float<strong>in</strong>g “island” allows not only the<br />

application on land, but also on lakes, lagoons or on high seas. The vision is to build very large islands, float<strong>in</strong>g on the pacific, that could contribute<br />

1/4 th of the estimated global energy demand <strong>in</strong> 2030.<br />

The generation of susta<strong>in</strong>able energy will become one of the<br />

ma<strong>in</strong> challenges of our civilization for the com<strong>in</strong>g decades.<br />

Worldwide energy demand is expected to grow from about<br />

10 GTep (Giga Ton Equivalent Petrol) <strong>in</strong> the beg<strong>in</strong>n<strong>in</strong>g of the<br />

century to 15-20 GTep by 2050.<br />

Among the many renewable energy sources, the potential of<br />

solar energy is at least one hundred times larger than any<br />

other renewable energy source. Today, there are four ma<strong>in</strong><br />

classes of solar energy systems <strong>in</strong> operation or <strong>in</strong><br />

development:<br />

• Photovoltaic panels (PV)<br />

• Low temperature solar panels (collectors)<br />

• Thermo-solar high temperature panels and systems<br />

(100-350°C) also known as “Concentrated Solar Power”<br />

(CSP) and higher temperature (800-1000°C), e.g. solar<br />

tower<br />

As costs for PV panels are still quite high, efficiency of the<br />

energy conversion is quite low, and storage of produced<br />

energy has not been solved, this technology is not appropriate<br />

for bulk energy supply. Low temperature panels are only<br />

useful for warm water supply for domestic and <strong>in</strong>dustrial use.<br />

Therefore only CSP is a promis<strong>in</strong>g candidate for large scale<br />

application.<br />

Figure 1: Extra-Flat Concentrator (EFC)<br />

Most of the currently build and planned CSP power plants [1]<br />

make use of parabolic trough-shaped mirror reflectors, that<br />

concentrate sunlight to receiver tubes placed <strong>in</strong> the trough’s<br />

focal l<strong>in</strong>e. The tube conta<strong>in</strong>s a heat exchange fluid or direct<br />

steam generation (DSG) is used. The steam is converted to<br />

electrical energy <strong>in</strong> a conventional steam turb<strong>in</strong>e generator.<br />

The heat can be stored by us<strong>in</strong>g latent storage materials like<br />

liquid salt <strong>in</strong> order to extend delivery of electric energy <strong>in</strong> the<br />

even<strong>in</strong>g hours.<br />

As a lower cost alternative, Solar Islands will use extra flat<br />

concentrators, built out of flat mirror glass blades which form a<br />

Fresnel reflector, see Figure 1. The concentrators will not<br />

follow the elevation of the sun, but its azimuth. To this end, the<br />

concentrators are mounted flat on the platform. Thus all<br />

elements of the platform will be passive, only the platform<br />

itself will rotate <strong>in</strong> order to follow the azimuth.<br />

Figure 2: Float<strong>in</strong>g Platform<br />

The platform will consist of an outer torus (e.g. steel r<strong>in</strong>g). The<br />

<strong>in</strong>ner part is covered with a low-cost surface sheet (e.g. plastic<br />

foil). An overpressure is applied below this membrane, thus<br />

exert<strong>in</strong>g a vertical force equal to the weight of the solar<br />

thermal modules placed on the membrane, as depicted <strong>in</strong><br />

Figure 2. Only about 5 mbar overpressure is needed to carry a<br />

load of 50 kg/m 2 . This novel design enables a simple turn<strong>in</strong>g<br />

of the platform by us<strong>in</strong>g electric hydrodynamic motors.<br />

Figure 3: Large Islands on open sea (computer graphic)<br />

The same pr<strong>in</strong>ciple can be applied for land based platforms,<br />

where the outer r<strong>in</strong>g is simply swimm<strong>in</strong>g <strong>in</strong> a circular water<br />

trench. In that case, drive wheels will be used for the island’s<br />

propulsion.<br />

Figure 4: Cross-section of land based Solar Island (computer<br />

graphic)<br />

21


As a first step, <strong>CSEM</strong> is build<strong>in</strong>g such a land based prototype<br />

<strong>in</strong> the emirate Ras Al-Khaimah (RAK) with a diameter of 86 m,<br />

see Figure 5. This island will be equipped with 68 solar<br />

thermal modules, each of size 8 m x 8 m. The modules and<br />

the entire thermal loop is designed and manufactured by the<br />

<strong>CSEM</strong> startup Nolaris.<br />

Figure 5: Prototype of diameter 86m (CAD Model)<br />

The outer r<strong>in</strong>g is designed as a torus of 2 m height, made out<br />

of 6 mm thick steel. Figure 6 shows the first produced<br />

segments.<br />

Figure 6: Steel torus elements<br />

A simple polyolef<strong>in</strong> foil of 2 mm thickness, enforced with some<br />

polyester fibers, will be clamped to the torus and span the<br />

entire <strong>in</strong>ner part of the island. Spac<strong>in</strong>g elements will be<br />

arranged <strong>in</strong> l<strong>in</strong>ear rows <strong>in</strong> order to distribute the load of the<br />

modules to the foils. Additionally, steel cables will be spanned<br />

over the island <strong>in</strong> a 4 m x 4 m grid to stabilize the modules<br />

and transmit horizontal w<strong>in</strong>d forces to the torus. Intensive<br />

FEM and w<strong>in</strong>d simulations have been made to assure the<br />

utmost stable orientation of the modules, see Figure 7.<br />

Figure 7: Part of the CAD model (absorber tubes not shown)<br />

22<br />

At the centre of the island the feed<strong>in</strong>g water will be supplied<br />

by a pivotable jo<strong>in</strong>t. From there, the water will be distributed to<br />

the modules. All modules of a row form one branch of this<br />

network. The branches are <strong>in</strong> fact the absorber tubes, which<br />

are mounted 4 m above the mirror blades. In a coaxial<br />

manner, the feed<strong>in</strong>g water floats through an <strong>in</strong>ner pipe to the<br />

end of the branch. Figure 8 shows the basic pr<strong>in</strong>ciples of the<br />

absorber tube.<br />

Figure 8: Design of absorber tube<br />

The water will arrive preheated at the end of the branch and<br />

will flow at this po<strong>in</strong>t to the outer pipe (Figure 9). On its way<br />

back to the central tube, the water will heat up further and will<br />

be transformed <strong>in</strong>to steam. The steam will leave the island<br />

through a pivotable jo<strong>in</strong>t and will drive a steam turb<strong>in</strong>e which<br />

is placed next to the island.<br />

Figure 9: Water and steam network<br />

The generated peak power of the prototype will amount to<br />

approx. 1 MW, with an average power of 250 kW. The annual<br />

energy production is expected to reach 2.2 GWh.<br />

•<br />

Nolaris SA, a <strong>CSEM</strong> Start-up<br />

••<br />

Independent mechanical designer, consultant to <strong>CSEM</strong><br />

[1] F. Trieb, H. Müller-Ste<strong>in</strong>hagen, “Susta<strong>in</strong>able Electricity and<br />

Water for Europe, Middle East and North Africa”, DESERTEC,<br />

Whitebook of TREC and Club of Rome (<strong>2007</strong>).


MICROELECTRONICS<br />

Christian Enz<br />

The <strong>research</strong> <strong>activities</strong> conducted <strong>in</strong> the field of Integrated<br />

Systems for Information Technology (ISIT) are focused on the<br />

design of highly <strong>in</strong>tegrated systems target<strong>in</strong>g low-power and<br />

low-voltage applications. The latter systems typically <strong>in</strong>clude<br />

complex microelectronics Systems-on-Chip (SoCs),<br />

embedd<strong>in</strong>g many functionalities on a s<strong>in</strong>gle chip, together with<br />

other heterogeneous devices such as RF passives,<br />

resonators and filters, silicon time basis and sensors <strong>in</strong>to<br />

advanced Systems-<strong>in</strong>-Package (SiPs). The <strong>research</strong> is<br />

organized around four different generic technology platforms:<br />

• Digital SoC platform<br />

• Sensory Information Process<strong>in</strong>g platform<br />

• Integrated RF Circuits and Systems platform<br />

• RF and Piezoelectric Components platform.<br />

The achievements made dur<strong>in</strong>g <strong>2007</strong> <strong>in</strong> these different<br />

platforms are discussed <strong>in</strong> more detail below.<br />

The Digital SoC platform aims at develop<strong>in</strong>g all the key digital<br />

components required <strong>in</strong> a SoC, <strong>in</strong>clud<strong>in</strong>g low-leakage<br />

memories and highly energy-efficient processors. The latter<br />

<strong>in</strong>clude the Macgic, a 4 MAC digital signal processor (DSP)<br />

developed for <strong>in</strong>tensive digital signal process<strong>in</strong>g and the<br />

icyflex, a 32-bit microcontroller core with additional DSP<br />

capabilities, for simple signal process<strong>in</strong>g. These processors<br />

have now reached a certa<strong>in</strong> degree of maturity and have<br />

started to be <strong>in</strong>tegrated <strong>in</strong> several <strong>in</strong>dustrial SoCs. As an<br />

example, four Macgic DSPs have successfully been used <strong>in</strong> a<br />

programmable multi-processor eng<strong>in</strong>e for an ultra low-power<br />

mobile digital TV. The icyflex has now become the heart of all<br />

<strong>CSEM</strong> SoCs replac<strong>in</strong>g the long-time used 8-bits CoolRISC<br />

microcontroller. It is also used <strong>in</strong> the new generation of digital<br />

vision sensors SoC called icycam.<br />

The Sensory Information Process<strong>in</strong>g platform implements<br />

powerful vision systems that are able to extract essential<br />

image features <strong>in</strong> real-time and at low-power. These features<br />

<strong>in</strong>clude the three most important <strong>in</strong>formation categories that<br />

are used by the basic operation of the human vision, namely,<br />

the contrast (<strong>in</strong>tensity variation), the direction of contrast<br />

(feature orientation) and the motion (spatio-temporal contrast<br />

variation). The new vision sensor platform has been migrated<br />

from the former 0.5 µm CMOS process towards a 0.18 µm<br />

process. In the former version, the contrast extraction and<br />

calculation was fully done <strong>in</strong> the analog doma<strong>in</strong>, mak<strong>in</strong>g it<br />

difficult to migrate. In order to take full advantage of<br />

technology scal<strong>in</strong>g, the core of the vision sensor architecture<br />

has been profoundly modified and is now essentially digital.<br />

This also allows tak<strong>in</strong>g advantage of the icyflex processor <strong>in</strong><br />

the newly designed icycam vision sensor SoC. The ability of<br />

vision sensors to process the image feature locally enables it<br />

to be used together with other vision sensors connected<br />

together with a rather low data rate wireless channel. Such a<br />

network allows perform<strong>in</strong>g data fusion of signals provided by<br />

several vision sensors and 3D cameras <strong>in</strong> order to track<br />

persons <strong>in</strong> build<strong>in</strong>gs. Another SoC <strong>in</strong>clud<strong>in</strong>g the icyflex is used<br />

for nanometric absolute position encod<strong>in</strong>g. Such m<strong>in</strong>iaturized<br />

optical encoders have a great potential for applications <strong>in</strong><br />

robotics, automation and mach<strong>in</strong>e tools.<br />

The Integrated RF Circuits and Systems platform ma<strong>in</strong>ly<br />

targets ultra low-power short-range and low data rate<br />

applications. A new development platform has been<br />

eng<strong>in</strong>eered for the design of narrow-band RF transceivers<br />

operat<strong>in</strong>g <strong>in</strong> the 868 MHz and 915 MHz ISM bands. It <strong>in</strong>cludes<br />

an <strong>in</strong>tegrated front-end IC with a low-IF super-heterodyne<br />

receiver architecture and a newly designed direct modulation<br />

RF transmitter. The on-chip analog baseband uses a phaseto-digital<br />

converter and is followed by a digital baseband<br />

implemented <strong>in</strong> a FPGA <strong>in</strong> order to keep a maximum of<br />

flexibility. This platform allows experiment<strong>in</strong>g on different<br />

modulation schemes. The efficient comb<strong>in</strong>ation of MEMS such<br />

as BAW resonators and ICs has always been a strong<br />

<strong>research</strong> topic <strong>in</strong> the field of ultra low-power radio design.<br />

After hav<strong>in</strong>g realized a BAW RF front-end, the BAW is also<br />

used <strong>in</strong> the frequency synthesizer. A new architecture is<br />

proposed to take advantage of the low-phase noise but<br />

circumvent the limited frequency tun<strong>in</strong>g range of the BAW<br />

VCO. It uses a quasi-harmonic relaxation oscillator featur<strong>in</strong>g a<br />

large tun<strong>in</strong>g range compensat<strong>in</strong>g the limited one of the RF<br />

VCO. This architecture is similar to the one used <strong>in</strong> the radio<br />

that will be used with<strong>in</strong> the PackTime MIP.<br />

The new radio and synthesizer architectures mentioned above<br />

rely heavily on the BAW and silicon resonators developed <strong>in</strong><br />

the RF and Piezoelectric Components platform. These<br />

devices take advantage of the AℓN th<strong>in</strong> film piezo-electric<br />

technology available at <strong>CSEM</strong>. Silicon MEMS resonators can<br />

potentially achieve similar performance to crystal quartz with a<br />

smaller size. However, they suffer from the high temperature<br />

coefficient of silicon and imperatively have to be compensated<br />

<strong>in</strong> temperature. This can be done electronically by us<strong>in</strong>g an<br />

on-chip temperature sensor or by deposit<strong>in</strong>g an additional<br />

layer of proper thickness made of SiO2 hav<strong>in</strong>g a positive<br />

temperature coefficient. Two families of Si-resonators have<br />

been developed: temperature compensated 20 to 140 KHz<br />

flexural resonators and high-Q 1 MHz extensional resonators.<br />

These MEMS are activated thanks to an AℓN piezoelectric<br />

layer, mak<strong>in</strong>g them compatible with the voltages used <strong>in</strong><br />

SoCs.<br />

The four technology platforms are evolv<strong>in</strong>g towards ever more<br />

complex systems comb<strong>in</strong><strong>in</strong>g the different technologies. In this<br />

sense there is clearly a convergence of the overall <strong>research</strong><br />

activity <strong>in</strong> this field. This concentration should help to solve the<br />

important challenges that lie ahead. Among those we can<br />

mention the migration towards ultra deep sub-micron CMOS<br />

process, with its <strong>in</strong>herent leakage and parameter variability<br />

issues. Also, the packag<strong>in</strong>g rema<strong>in</strong>s the ma<strong>in</strong> issue for<br />

comb<strong>in</strong><strong>in</strong>g MEMS and SoC together for new low-power and<br />

ultra m<strong>in</strong>iaturized systems. It is the believe of <strong>CSEM</strong> that the<br />

Integrated Systems for Information Technology platform is<br />

well armed to face and solve these important challenges!<br />

23


Data Fusion for Wireless Distributed Track<strong>in</strong>g Systems<br />

F. Rampogna, P.-A. Beuchat, A. Brenzikofer, D. Beyeler, E. Franzi, E. Grenet, A. Hutter, P. Nussbaum, L. Von Allmen<br />

The objective of this work is to perform the fusion of data gathered from distributed movement track<strong>in</strong>g 2D/3D camera nodes and to provide the<br />

user with a unified and synthetic view of the activity <strong>in</strong> the area be<strong>in</strong>g monitored. The data communication between the various embedded slave<br />

track<strong>in</strong>g nodes and the master node is performed either through a low-power, low-data-rate, 2.4 GHz RF ad-hoc network, us<strong>in</strong>g a portion of the<br />

IEEE8102.15.4 TDMA protocol, or through an emulation of the radio network over a wired ethernet l<strong>in</strong>k, for test and debug purposes.<br />

The work <strong>in</strong> this project has been done with<strong>in</strong> the RISE multidiscipl<strong>in</strong>ary<br />

project framework [1] .<br />

Both the 2D/3D track<strong>in</strong>g camera node, and the master node<br />

are implemented us<strong>in</strong>g <strong>CSEM</strong> DEVISE cameras [2] . They are<br />

based on a 500 MHz BF533 DSP/MCU, and implement<br />

32 MiBytes SDRAM, 2 MiBytes FLASH memory, a 2.4 GHz<br />

RF transceiver, a 100 Mbit/s ethernet <strong>in</strong>terface, and a TCP/IP<br />

protocol stack developed <strong>in</strong>-house. The 2D camera nodes use<br />

<strong>CSEM</strong> vision sensors [3] , and the 3D camera nodes, MESA’s<br />

3D imagers [4] .<br />

Figure 1: Pr<strong>in</strong>ciples of data fusion us<strong>in</strong>g three 2D camera nodes<br />

Figure 1 shows an example of a room be<strong>in</strong>g monitored.<br />

Various people are present <strong>in</strong> the room, some are seated,<br />

others are walk<strong>in</strong>g, and others are discuss<strong>in</strong>g while stand<strong>in</strong>g<br />

still. Three 2D track<strong>in</strong>g nodes are <strong>in</strong>stalled at the ceil<strong>in</strong>g level<br />

and are look<strong>in</strong>g down. They have three different orientations:<br />

node 1 is oriented towards the west, node 2 towards the north<br />

and node 3 towards the east. They all have the same fish-eye<br />

optical characteristics. Each camera node detects the<br />

presence and tracks the movement of objects <strong>in</strong> its own field<br />

of view (FOV). For each tracked object <strong>in</strong> the FOV, the<br />

follow<strong>in</strong>g nature of each object is extracted:<br />

• Unknown (U): the object characteristics are such that it is<br />

impossible to determ<strong>in</strong>e what k<strong>in</strong>d of object is detected<br />

• Human (H): the presence of a person has been detected<br />

• Group (GN): the presence of two or more people has been<br />

detected<br />

By know<strong>in</strong>g both the spatial location, optical characteristics,<br />

orientation and height of each camera node, it is possible to<br />

project the pixel coord<strong>in</strong>ates correspond<strong>in</strong>g to the position of<br />

24<br />

the feet of the tracked object, first to a local metric coord<strong>in</strong>ates<br />

space, and then, to a unified metric room coord<strong>in</strong>ates space.<br />

In order to cover a large floor-level area, very wide angle<br />

lenses are typically used <strong>in</strong> 2D camera nodes. These lenses<br />

greatly distort the image and therefore a geometric correction<br />

is required <strong>in</strong> order to perform an accurate floor-level<br />

projection of the positions of tracked objects.<br />

Once the coord<strong>in</strong>ates of all the objects be<strong>in</strong>g tracked by all the<br />

nodes have been unified, a data fusion algorithm groups<br />

together the objects which are spatially close-enough, and<br />

associates to them extended properties, such as their k<strong>in</strong>d: U,<br />

H, G1 to Gn. If 3D camera nodes are used for the monitor<strong>in</strong>g,<br />

the height of tracked objects will also be displayed.<br />

Camera node #1 (2D) Camera node #2 (2D) Camera node #3 (2D) Camera node #4 (3D)<br />

1 2 3 4<br />

Unified coord<strong>in</strong>ates view of detected objects Synthetic view after data fusion<br />

Figure 2: Example of monitored room (<strong>CSEM</strong> ma<strong>in</strong> entrance)<br />

Figure 2 illustrates an example of data fusion obta<strong>in</strong>ed from<br />

the monitor<strong>in</strong>g of the <strong>CSEM</strong>’s ma<strong>in</strong> build<strong>in</strong>g entrance, where<br />

one person is currently leav<strong>in</strong>g the build<strong>in</strong>g. The contrast edge<br />

images as seen by the three 2D vision sensor nodes and the<br />

image heights seen by the 3D camera node are displayed.<br />

The unified coord<strong>in</strong>ates representation of the objects seen by<br />

the various camera nodes is shown at the bottom left, together<br />

with their respective trajectories and the representation of the<br />

cameras FOV. The synthetic representation of the objects<br />

tracked by the cameras after data fusion is shown at the<br />

bottom right, together with the location and orientation of the<br />

various camera nodes.<br />

[1] A. Hutter, et al., “RISE – The Rich Sens<strong>in</strong>g Concept”, <strong>in</strong> this<br />

report, page 15<br />

[2] www.csem-devise.com<br />

[3] www.csem-devise.com/Vision-MD535V2A1-413-09-06.pdf<br />

[4] www.mesa-imag<strong>in</strong>g.ch


High Dynamic Range Versatile Front-End for Vision Systems<br />

P. Heim, F. Kaess, P.-F. Rüedi<br />

A 128 by 152 pixel array with very high <strong>in</strong>tra-scene dynamic range has been <strong>in</strong>tegrated <strong>in</strong> a 0.18 µm optical process. It features a data<br />

representation which encodes nearly 7 decades of illum<strong>in</strong>ation with a 10 bit data word. Furthermore, the data representation used facilitates<br />

subsequent data process<strong>in</strong>g such as contrast computation.<br />

There is an <strong>in</strong>creas<strong>in</strong>g need for optical sensors optimally<br />

suited for systems whose purpose is not to restitute an image<br />

to a f<strong>in</strong>al user, but to analyze the content of a visual scene<br />

and make a decision. The ma<strong>in</strong> requirements for such a<br />

sensor are a wide <strong>in</strong>tra-scene dynamic range and a data<br />

representation facilitat<strong>in</strong>g process<strong>in</strong>g. Standard image sensors<br />

have a too narrow dynamic range to cope with the<br />

tremendous change of illum<strong>in</strong>ation occurr<strong>in</strong>g <strong>in</strong> natural visual<br />

scenes. Logarithmic imagers offer a wide dynamic range and<br />

a data representation which easily discard illum<strong>in</strong>ation<br />

changes <strong>in</strong> an image. However, up to now, the logarithmic<br />

compression has been performed <strong>in</strong> the analog doma<strong>in</strong>,<br />

br<strong>in</strong>g<strong>in</strong>g a high pixel-to-pixel fixed pattern noise, which makes<br />

them unusable for commercial applications.<br />

The visual front-end developed at <strong>CSEM</strong> circumvents this<br />

issue. It <strong>in</strong>corporates a high dynamic range pixel array with<br />

logarithmic compression <strong>in</strong> the digital doma<strong>in</strong> to avoid the<br />

large fixed pattern noise associated with analog compression.<br />

Figure 1 shows a block diagram of a pixel and the logarithmic<br />

time generator. Each pixel <strong>in</strong>tegrates the photocurrent<br />

delivered by a photodiode on a capacitor. The result<strong>in</strong>g<br />

voltage is cont<strong>in</strong>uously compared to a reference voltage<br />

(VREF). Once VREF is reached, the content of a 10-bit digital<br />

word distributed to all pixels <strong>in</strong> parallel is stored <strong>in</strong> the pixel<br />

memory. This digital word evolves over time to code the<br />

logarithm of the time elapsed s<strong>in</strong>ce the beg<strong>in</strong>n<strong>in</strong>g of the<br />

<strong>in</strong>tegration. Once photo-current <strong>in</strong>tegration is term<strong>in</strong>ated, the<br />

10-bit words stored <strong>in</strong> the pixel array are read-out.<br />

Figure 1: Block diagram of the pixel and logarithmic time generator<br />

The data representation delivered by the sensor enables to<br />

easily discard illum<strong>in</strong>ation and compute the contrast between<br />

neighbour<strong>in</strong>g pixels.<br />

The circuit encompasses an array of 128 by 152 pixels, with a<br />

pixel pitch of 14 µm and a fill factor of 20% <strong>in</strong> a 0.18 µm<br />

optical process. Figure 2 shows a microphotograph of the<br />

circuit.<br />

Figure 2: Micrograph of the circuit<br />

The left of Figure 3 shows an image acquired with the sensor.<br />

Notice that the face of the person and the outside background<br />

are simultaneously visible, illustrat<strong>in</strong>g the high dynamic range.<br />

The right of Figure 3 shows the contrast representation<br />

obta<strong>in</strong>ed by simply comput<strong>in</strong>g the difference between<br />

neighbor<strong>in</strong>g pixels. Notice the <strong>in</strong>dependence on the<br />

illum<strong>in</strong>ation level.<br />

Figure 3: High dynamic range visual scene<br />

A system-on-chip [1] <strong>in</strong>corporat<strong>in</strong>g a 320 by 240 (QVGA) pixel<br />

array based on this pr<strong>in</strong>ciple, an icyflex [2] processor, RAM and<br />

communication <strong>in</strong>terfaces is now <strong>in</strong> the process of be<strong>in</strong>g<br />

<strong>in</strong>tegrated. It will enable vision applications (image capture<br />

and process<strong>in</strong>g) to be performed on a s<strong>in</strong>gle chip.<br />

[1] C. Arm, et al., “icycam , a System-On-Chip (SoC) for Vision<br />

Applications”, <strong>in</strong> this report, page 30<br />

[2] M. Morgan, et al., “icyflex, a Low Power 32-bit Microcontroller<br />

Core”, <strong>CSEM</strong> Scientific and Technical Report 2006, page 20<br />

25


A High-Performance 2.4 GHz RF Front-End <strong>in</strong> a 90 nm Process<br />

M. Kucera, N. Scolari, F. Pengg, P. Persech<strong>in</strong>i , D. Ruffieux, A. Vouilloz, E. Vardarli, P. Ferrat, J. Chabloz, R. Caseiro, C. Monneron<br />

The ever ongo<strong>in</strong>g advances <strong>in</strong> semiconductor manufactur<strong>in</strong>g and the cont<strong>in</strong>uous shr<strong>in</strong>k of the <strong>in</strong>tegrated transistors pose new challenges and<br />

require <strong>in</strong>novative solutions for the design of high-performance and low-power RF circuits <strong>in</strong> the ultra-deep submicron range. This paper provides<br />

<strong>in</strong>sight <strong>in</strong> the design of a high performance 2.4 GHz RF front-end <strong>in</strong> 90 nm CMOS.<br />

The well known “Moore’s Law” has been valid for nearly 40<br />

years, confirm<strong>in</strong>g that <strong>in</strong>tegrated circuit (IC) technology<br />

evolves every 18 months with a new process generation that<br />

enables higher circuit <strong>in</strong>tegration and reduced IC size and<br />

cost. While the ma<strong>in</strong> driv<strong>in</strong>g forces are large digital ICs,<br />

analog and RF circuits can also benefit from these technology<br />

advances, <strong>in</strong> particular system-on-chip (SoC) realizations<br />

where they are embedded jo<strong>in</strong>tly with large digital sections<br />

(e.g. mobile telephony ICs).<br />

Concern<strong>in</strong>g radio SoCs, the co-<strong>in</strong>tegration of highperformance<br />

low-power RF circuits together with digital blocks<br />

has been proven us<strong>in</strong>g submicron CMOS<br />

(0.5µm - 0.18µm) [1] . RF-platforms of the next generation,<br />

such as Software Def<strong>in</strong>ed Radios (SDR), rely heavily on large<br />

digital process<strong>in</strong>g blocks [2] , which pleads for us<strong>in</strong>g ultra-deep<br />

submicron (UDSM) CMOS (90 nm and beyond). The<br />

challenge today thus consists of the design of highperformance<br />

RF circuits with competitive sizes of the RF<br />

blocks (UDSM CMOS be<strong>in</strong>g expensive) and with low power<br />

consumption, which is critical for portable, battery operated<br />

applications targeted by <strong>CSEM</strong>.<br />

With<strong>in</strong> this context, <strong>CSEM</strong> has designed a 90 nm CMOS RF<br />

front-end circuit with the follow<strong>in</strong>g goals:<br />

• To achieve high-performance specifications with lowest<br />

possible power consumption while m<strong>in</strong>imiz<strong>in</strong>g the required<br />

silicon area.<br />

• To design <strong>in</strong> a standard digital 90 nm CMOS process,<br />

without rely<strong>in</strong>g on costly RF/analog process<strong>in</strong>g options:<br />

this is mandatory for enabl<strong>in</strong>g seamless co-<strong>in</strong>tegration<br />

with digital circuits.<br />

• To establish a library of optimized RF passive devices: the<br />

generic RF passive devices (<strong>in</strong>ductors, capacitors,<br />

varicaps, etc) provided by silicon foundries usually have<br />

<strong>in</strong>adequate performance and prohibitive sizes, or require<br />

additional process<strong>in</strong>g options. The design of optimized<br />

passives on the standard digital technology is crucial for<br />

achiev<strong>in</strong>g best-<strong>in</strong>-class RF performance.<br />

The RF front-end targets the 2.4 GHz ISM band, and <strong>in</strong>cludes<br />

a receiver front-end (LNA, down-conversion mixers), a<br />

transmitter front-end (PA, up-conversion mixers), and the<br />

critical blocks of the frequency synthesizer (RF VCO, LO<br />

buffers, pre-scalers and multi-modulus dividers). The target<br />

specifications are a noise figure of 2 dB for the receiver frontend<br />

with high l<strong>in</strong>earity (IIP3 up to -13 dBm for an entire<br />

receiver), and a current consumption of 8 mA from a 1.6 V<br />

supply. The transmitter targets +27 dBm of output power<br />

which requires the handl<strong>in</strong>g of about 1 W and 500 mA on-chip.<br />

The layout of the RF front-end IC is shown <strong>in</strong> Figure 1.<br />

26<br />

Figure 1: Layout of the 2.4 GHz RF front–end <strong>in</strong> 90nm CMOS<br />

As mentioned above, special care was taken <strong>in</strong> the design<br />

and modell<strong>in</strong>g of dedicated passives us<strong>in</strong>g the methodology<br />

developed at <strong>CSEM</strong> [3] . A close-up view of one of the RF<br />

<strong>in</strong>ductors together with its RF test-fixture is given <strong>in</strong> Figure 2.<br />

An <strong>in</strong>ductance value of 12 nH and a quality factor Q better<br />

than 10 at 2.4 GHz are expected. It occupies a surface of<br />

200x200 µm 2 (<strong>in</strong> the foundry design kit, no <strong>in</strong>ductances were<br />

available without us<strong>in</strong>g RF options and limited metal layers).<br />

Figure 2: Layout of an <strong>in</strong>ductor <strong>in</strong> 90nm (right) with the pad structure<br />

for the device measurement on the RF prober (left)<br />

The 2.4GHz RF front-end presented <strong>in</strong> this paper is currently<br />

<strong>in</strong> fabrication and samples for characterization are expected<br />

shortly.<br />

[1] V. Peiris, et al., “A 1V 433/868MHz 25kb/s-FSK 2kb/s-OOK RF<br />

Transceiver SoC <strong>in</strong> Standard Digital 0.18 μm CMOS,” <strong>in</strong> Int.<br />

Solid-State Circ. Conf. Dig. of Tech. Papers, Feb. 2005,<br />

258–259.<br />

[2] E. Le Roux, “Low Power Flexible Digital Demodulator for<br />

Integrated RF Transceiver”, <strong>CSEM</strong> Scientific and Technical<br />

Report 2006, page 27<br />

[3] F. Giroud, et al., “Integrated Inductors <strong>in</strong> 0.18 μm CMOS and<br />

Model-Fitt<strong>in</strong>g Approach for Low-power 2.45GHz RF blocks”,<br />

<strong>CSEM</strong> Scientific and Technical Report 2005, page 23


Direct Modulation RF Transmitter and Super-Heterodyne Low-IF Receiver Development<br />

Platform for 868 MHz and 915 MHz ISM Bands<br />

M. Contaldo, E. Le Roux, D. Ruffieux, P. Volet, M. Kucera, N. Raemy, F. Giroud, F. Pengg, S. Gyger, C. Arm, P. Heim, F. Kaess,<br />

V. Peiris<br />

This paper presents the design of a radio development platform suited for develop<strong>in</strong>g ultra low-power high-performance narrow-band RF<br />

transceivers. In particular, this platform will be used for validat<strong>in</strong>g an 868 MHz/915 MHz RF IC featur<strong>in</strong>g up to ±200kHz modulation bandwidth for<br />

different constant-envelope modulation schemes, and consum<strong>in</strong>g below 3 mA current <strong>in</strong> receive mode under a very-low 1 V supply.<br />

For wireless sensor networks or body-area networks for biomedical<br />

and lifestyle applications, the need of a m<strong>in</strong>iature and<br />

ultra-low power radio transceiver is mandatory to achieve<br />

multi-year autonomy with un-obtrusive embodiment.<br />

Ultra low-power consumption (below 3 mA under 1 V supply <strong>in</strong><br />

receive mode) has been demonstrated for radios operat<strong>in</strong>g <strong>in</strong><br />

sub-GHz bands and us<strong>in</strong>g simple FSK/OOK modulation<br />

schemes [1] . On the other hand, the requirements <strong>in</strong> terms of<br />

modulation schemes and bandwidths happen to differ<br />

significantly depend<strong>in</strong>g on the targeted applications. The<br />

design of an optimal radio architecture rema<strong>in</strong>s thus a<br />

complex task as the impact and the added value of various<br />

modulation strategies must be quantified carefully before f<strong>in</strong>al<br />

<strong>in</strong>tegration, <strong>in</strong> particular for low-power radios.<br />

Although high- and low-level simulations help for prelim<strong>in</strong>ary<br />

validation, a given radio architecture may be fully validated<br />

only with real-world measurements <strong>in</strong>clud<strong>in</strong>g complex channel<br />

and <strong>in</strong>terference situations which are difficult to simulate. To<br />

address this issue, this paper presents an <strong>in</strong>termediate<br />

approach, <strong>in</strong> the form of a microelectronics-oriented hardware<br />

development platform, as depicted <strong>in</strong> Figure 1.<br />

Figure 1: Development platform block diagram<br />

This approach with a programmable FPGA-based back-end<br />

enables the development and validation of various modulation<br />

schemes with a high degree of flexibility, by programm<strong>in</strong>g<br />

baseband process<strong>in</strong>g algorithms <strong>in</strong>to the FPGA, and<br />

characteriz<strong>in</strong>g the l<strong>in</strong>k performance before full <strong>in</strong>tegration.<br />

Because the front-end is a low-power RF IC, the architecture<br />

of the platform is very close to that of an <strong>in</strong>tegrated circuit.<br />

Hence the design of a complete transceiver comb<strong>in</strong><strong>in</strong>g both<br />

sections on a s<strong>in</strong>gle die will be enabled with a low risk level.<br />

The RF front-end IC <strong>in</strong>cludes the transmitter (Tx), receiver<br />

(Rx) and frequency synthesizer (PLL) circuitry, from the<br />

antenna <strong>in</strong>put towards the analog baseband, without rely<strong>in</strong>g<br />

on any other external active components. The Rx/Tx is<br />

designed for operation <strong>in</strong> the 868/915 MHz bands. The FPGA<br />

embeds the digital parts such as the modulation/demodulation<br />

section of the radio, the control for the RF front-end IC and the<br />

<strong>in</strong>terfaces. For the slow digital configuration signals, e.g.<br />

analog sub-block bias sett<strong>in</strong>gs, the configuration is done<br />

through serial l<strong>in</strong>k (I2C). For fast digital signal, i.e. the RF<br />

synthesizer sett<strong>in</strong>gs, the configuration is done through a<br />

parallel bus. The FPGA clock signal is generated on the RF<br />

front-end IC, therefore their association does not need any<br />

additional circuit.<br />

With<strong>in</strong> the RF front-end IC, the transmitter is based on a direct<br />

modulation architecture. The frequency synthesizer is<br />

implemented by means of a fractional-N PLL employ<strong>in</strong>g a<br />

3rd order MASH sigma delta modulator, tak<strong>in</strong>g advantage of its<br />

beneficial noise shap<strong>in</strong>g effect. The external loop filter and the<br />

programmable charge pump permit a good customizability of<br />

the synthesizer performances. The synthesized signal is then<br />

directly coupled to the power amplifier and directed to a SAW<br />

filter, before reach<strong>in</strong>g the antenna. The receiver is based on a<br />

heterodyne low-IF architecture that down-converts the RF<br />

signal <strong>in</strong>to at 96-103 MHz <strong>in</strong>termediate frequency and then to<br />

75-150 kHz, where it can be filtered. The signal is then<br />

converted <strong>in</strong> the digital doma<strong>in</strong> us<strong>in</strong>g an <strong>in</strong>tegrated<br />

phase-ADC whose output drives the FPGA to allow the design<br />

and measurement of different demodulations [2]<br />

The ma<strong>in</strong> specifications of the radio are up to ±200 kHz<br />

modulation bandwidth, with a 3 mA current consumption <strong>in</strong> Rx<br />

for -105 dBm sensitivity, and 40 mA <strong>in</strong> Tx for a 10 dBm output<br />

power, under 1V supply. A photograph of the RF front-end IC,<br />

<strong>in</strong>tegrated <strong>in</strong> 0.18um CMOS, is given <strong>in</strong> Figure 2.<br />

Figure 2: Microphotograph of the RF front-end IC<br />

[1] V. Peiris, et al., “A 1V 433/868MHz 25kb/s-FSK 2kb/s-OOK RF<br />

Transceiver SoC <strong>in</strong> Standard Digital 0.18 μm CMOS,” <strong>in</strong> Int.<br />

Solid-State Circ. Conf. Dig. of Tech. Papers, Feb. 2005, 258-259<br />

[2] E. Le Roux, “Low Power Flexible Digital Demodulator for<br />

Integrated RF Transceiver”, <strong>CSEM</strong> Scientific and Technical<br />

Report 2006, page 27<br />

27


Quasi-Harmonic Quadrature CMOS Relaxation Oscillator<br />

J. Chabloz, D. Ruffieux<br />

In this paper, a solution to realize a local oscillator (LO) for a low power super-heterodyne receiver is presented. The complete local frequency<br />

synthesis solution comprises a fixed-frequency bulk-acoustic wave (BAW) RF oscillator with low phase noise and low tun<strong>in</strong>g range.<br />

A quasi-harmonic quadrature relaxation oscillator with a large tun<strong>in</strong>g range is realized and can be used to compensate for variations <strong>in</strong> the<br />

RF oscillator as well as to cover the entire bandwidth for multiple channel selection.<br />

The receiver for which the presented oscillator is specifically<br />

designed is based on a super-heterodyne architecture and its<br />

block schematic is described <strong>in</strong> Figure 1. The receiver frontend<br />

and baseband parts have already been <strong>in</strong>tegrated and<br />

characterized with external oscillators [1] . A BAW oscillator<br />

embedded with<strong>in</strong> a digital frequency synthesis [2] is used as a<br />

first local source (BAW RF LO) and runs at a fixed frequency,<br />

determ<strong>in</strong>ed by the physical dimensions of the BAW resonator.<br />

The second downconversion uses a quadrature relaxation<br />

oscillator (IF LO) with a large tun<strong>in</strong>g range [3] that is presented<br />

below.<br />

28<br />

Passband<br />

Balun<br />

BAW<br />

Filter<br />

2400.0 - 2483.5 MHz<br />

On-chip<br />

LNA<br />

2330.0 MHz<br />

fixed<br />

BAW<br />

LO<br />

IF Amplifier<br />

Quadrature<br />

IF Oscill.<br />

Frequency Synthesis<br />

Figure 1: Super-heterodyne receiver architecture<br />

70.0 - 153.5 MHz<br />

tunable<br />

S<strong>in</strong>ce the second downconversion is direct, the selected<br />

channel frequency is determ<strong>in</strong>ed by the sum or the difference<br />

of both oscillator frequencies. Therefore the allowed frequency<br />

excursion on the second oscillator allows to compensate<br />

entirely for the lack of tunability of the first one.<br />

The proposed quasi-harmonic quadrature relaxation oscillator<br />

architecture is described <strong>in</strong> Figure 2. Coupl<strong>in</strong>g transistors are<br />

used to couple two classical relaxation oscillator cores.<br />

Coupl<strong>in</strong>g<br />

transistors<br />

M3<br />

M1<br />

M2<br />

Figure 2: Quadrature oscillator architecture<br />

The wide variation of bias current needed to tune the<br />

oscillation frequency over the required range also creates<br />

wide variations <strong>in</strong> the oscillator operat<strong>in</strong>g po<strong>in</strong>t, more<br />

specifically <strong>in</strong> the steady-state oscillation amplitude. In order<br />

to <strong>in</strong>crease the tun<strong>in</strong>g range, l<strong>in</strong>earize the current-to-frequency<br />

characteristic and allow the oscillator to stay <strong>in</strong> the quasiharmonic<br />

mode over the entire range, an amplitude control<br />

has been designed.<br />

The presented circuit has been fabricated <strong>in</strong> a standard<br />

0.18 µm CMOS process. Figure 3 shows the actual receiver<br />

test chip photograph. The BAW resonator is bonded together<br />

with the chip.<br />

Figure 3: Receiver test chip photograph<br />

The oscillation frequency has been measured with different<br />

amplitude sett<strong>in</strong>gs and the results are shown <strong>in</strong> Figure 4. It<br />

can be seen that the tun<strong>in</strong>g range covers the wanted<br />

frequencies which range from 70 MHz to 150 MHz.<br />

Oscillation frequency [MHz]<br />

150<br />

140<br />

130<br />

120<br />

110<br />

100<br />

90<br />

80<br />

70<br />

60 0<br />

120<br />

140<br />

1<br />

160<br />

2<br />

180<br />

3<br />

200<br />

Relaxation oscillator current consumption (wo buffer) [μA]<br />

4<br />

220<br />

5<br />

240<br />

6<br />

K = 1.35<br />

K = 1.2<br />

Figure 4: Measured oscillator frequency tun<strong>in</strong>g range with two<br />

different amplitude sett<strong>in</strong>gs<br />

The quadrature coupl<strong>in</strong>g pr<strong>in</strong>ciple is verified by measur<strong>in</strong>g an<br />

average phase difference of 89° between the I and Q signals.<br />

Spot phase noises of -104 dBc/Hz at 1 MHz offset and<br />

-111 dBc/Hz at 3 MHz offset have been measured.<br />

[1] J. Chabloz, et al., “A Low-Power 2.4GHz CMOS Front-End us<strong>in</strong>g<br />

BAW Resonators”, ISSCC’06 proceed<strong>in</strong>gs, 1244-1253<br />

[2] D. Ruffieux, et al., “An Agile 2.4GHz MEMS-Based Digital<br />

Frequency Synthesizer”, <strong>CSEM</strong> Scientific and Technical Report<br />

2006, page 24<br />

[3] J. Chabloz, et al., “Frequency Synthesis for a Low-Power<br />

2.4GHz Receiver us<strong>in</strong>g a BAW Oscillator and a Relaxation<br />

Oscillator”, ESSCIRC’07 proceed<strong>in</strong>gs, 492-495<br />

260<br />

7<br />

280


Silicon Resonators: Thermal Compensation and Q Factor Optimization<br />

J. Baborowski, A.Pezous, C. Muller, Y. Welte, M.-A. Dubois<br />

The feasibility of two families of AlN/Si resonators has been demonstrated. The resonat<strong>in</strong>g structure is obta<strong>in</strong>ed with silicon suspended beams<br />

driven by an AlN piezoelectric layer. The flexural resonators exhibit extremely low thermal drift of resonance frequency (α close to zero). The<br />

thermal compensation has been obta<strong>in</strong>ed at device-level by us<strong>in</strong>g SiO2 with an appropriate thickness. The extensional resonators exhibit a Q factor<br />

larger than 140 000 below 1 mbar and a coupl<strong>in</strong>g coefficient of 0.05%.<br />

High Q silicon MEMS resonators have great potential for onchip<br />

high frequency synthesis, <strong>in</strong>tegrated circuit clock<br />

generation, and other applications based on a stable<br />

frequency reference signal. The thermal compensation of the<br />

silicon resonators as well as the development of m<strong>in</strong>iature<br />

<strong>in</strong>expensive lead-free packag<strong>in</strong>g solutions, represent however<br />

real technical and scientific challenges.<br />

<strong>CSEM</strong> SA has demonstrated the feasibility of two types of<br />

AlN/Si resonators [1] :<br />

• Thermally compensated, 20 kHz to 140 kHz flexural outof-plane<br />

mode resonator,<br />

• High Q, 1 MHz extensional <strong>in</strong>-plane mode resonator.<br />

Both types of resonators are currently be<strong>in</strong>g implemented <strong>in</strong><br />

the 2.4 GHz MEMS-based ULP transceiver.<br />

Figure 1: Top view of 32kHz silicon resonator with AlN actuation<br />

The triple tun<strong>in</strong>g fork out-of-plane resonator (Figure 1)<br />

presents a zero first order Thermal Coefficient of frequency (α<br />

TCf) that has been obta<strong>in</strong>ed at the device level by balanc<strong>in</strong>g<br />

the negative Thermal Coefficient of Elasticity (TCE) of Si and<br />

AlN with the positive TCE of SiO2. The frequency stability over<br />

a temperature range from 0° to +60°C is better than 20 ppm<br />

at 70 kHz. The possibility of f<strong>in</strong>e tun<strong>in</strong>g the TCf by trimm<strong>in</strong>g<br />

the thickness of SiO2 has been shown, as well as the ability to<br />

adjust the resonance frequency by apply<strong>in</strong>g a DC bias, with a<br />

sensitivity of 0.3 ppm/V.<br />

F<strong>in</strong>ite element modell<strong>in</strong>g has been used to analyze the<br />

distribution of energy <strong>in</strong> the tun<strong>in</strong>g fork. It has been shown that<br />

the clamp<strong>in</strong>g conditions between the vibrat<strong>in</strong>g arms and bulk<br />

substrate are the major source of energy loss (Figure 2). A<br />

new design has been realized to reduce this energy leakage<br />

and hence <strong>in</strong>crease the Q factor.<br />

In the case of the 1MHz extensional mode resonators, the<br />

effect of air pressure has been measured. In order to obta<strong>in</strong><br />

stable values of Q factors higher than 100000 it is mandatory<br />

to ma<strong>in</strong>ta<strong>in</strong> the resonator at a pressure below 1 mbar<br />

(Figure 3). This type of resonator exhibits a Q factor <strong>in</strong><br />

vacuum as high as 140000, a coupl<strong>in</strong>g factor k 2 eff of 0.05%, a<br />

motional resistance below 200 Ohm and a ma<strong>in</strong>ly l<strong>in</strong>ear TCf of<br />

-28.5 ppm/°C. The tolerance of the resonance frequency over<br />

100 mm wafer is lower than 0.1%.<br />

Figure 2: FEM simulation of the energy distribution <strong>in</strong> tun<strong>in</strong>g fork<br />

Hermetic packag<strong>in</strong>g at low pressure is one of the largest<br />

barriers to commercialisation of MEMS tim<strong>in</strong>g reference. In<br />

this project the solutions for packag<strong>in</strong>g the backside of the<br />

resonator have been demonstrated. The first solution consists<br />

<strong>in</strong> the fabrication of buried cavities with<strong>in</strong> the SOI wafer,<br />

followed by the build<strong>in</strong>g of the piezoelectric resonator directly<br />

on the membrane. The second solution uses a capp<strong>in</strong>g wafer<br />

(th<strong>in</strong> silicon or Pyrex) sealed by low temperature fusion<br />

bond<strong>in</strong>g or by anodic bond<strong>in</strong>g [2] .<br />

Figure 3: Variation of Q factor as function of pressure for 1MHz<br />

extensional resonator<br />

[1] J. Baborowski, C. Bourgeois, A. Pezous, C. Muller,<br />

M.-A. Dubois, Piezoelectrically Activated Silicon Resonators,<br />

Proceed<strong>in</strong>gs of the Jo<strong>in</strong>t <strong>2007</strong> European Frequency and Time<br />

Forum & <strong>2007</strong> IEEE Frequency Control Symposium, 1210-1213<br />

[2] D. Ruffieux, et al., “PackTime – Zero-Level Packag<strong>in</strong>g of Silicon<br />

Time-base”, <strong>in</strong> this report, page 16<br />

29


icycam, a System-On Chip (SoC) for Vision Applications<br />

C. Arm, R. Caseiro, S. Gyger, P. Heim, F. Kaess, J.-L. Nagel, P.-F. Rüedi, S. Todesch<strong>in</strong>i<br />

Icycam is a circuit comb<strong>in</strong><strong>in</strong>g on the same chip a 32-bit icyflex [1] processor operated at 50 MIPS, and a high dynamic range versatile pixel array,<br />

<strong>in</strong>tegrated on a 0.18 μm optical process. It enables the implementation on a s<strong>in</strong>gle chip of image capture and process<strong>in</strong>g, thus br<strong>in</strong>g<strong>in</strong>g<br />

considerable advantages <strong>in</strong> terms of cost, size and power consumption.<br />

There is a high demand for low cost and low power vision<br />

systems able to perform real-time analysis of a visual scene.<br />

Merg<strong>in</strong>g on a s<strong>in</strong>gle chip a pixel array to capture an image and<br />

a processor to analyze it offers <strong>in</strong>terest<strong>in</strong>g perspectives <strong>in</strong><br />

terms of cost and power consumption reduction.<br />

Icycam has been developed to address vision tasks <strong>in</strong> fields<br />

such as surveillance, automotive, optical character recognition<br />

and <strong>in</strong>dustrial control. It <strong>in</strong>corporates a high dynamic range<br />

pixel array [2] , an icyflex [1] processor, RAM and digital<br />

peripherals to enable a maximum of flexibility. It can be<br />

programmed <strong>in</strong> assembler or C code to implement vision<br />

algorithms and controll<strong>in</strong>g tasks.<br />

The icycam chip architecture is illustrated on Figure 1 and<br />

detailed <strong>in</strong> the follow<strong>in</strong>g sections.<br />

30<br />

RAM<br />

128 KiBytes<br />

SPI1<br />

SPI2<br />

320 x 240 (QVGA)<br />

high dynamic range<br />

versatile pixel array<br />

Sensor <strong>in</strong>terface<br />

GPIO<br />

64<br />

PPI<br />

Figure 1: icycam chip architecture<br />

SDRAM<br />

GPU<br />

icyflex<br />

UART<br />

JTAG<br />

The heart of the system is the 32-bit icyflex processor clocked<br />

at a 50 MHz frequency. It communicates with the pixel array,<br />

the on-chip SRAM and peripherals via a 64-bit <strong>in</strong>ternal data<br />

bus.<br />

The pixel array has a resolution of 320 by 240 pixels (QVGA),<br />

with a pixel pitch of 14 µm. Its digital-doma<strong>in</strong> pixel-level<br />

logarithmic compression makes it a low noise logarithmic<br />

sensor with close to 7 decades of <strong>in</strong>tra-scene dynamic range<br />

encoded on a 10-bit data word. Two special purpose<br />

<strong>in</strong>terfaces are implemented to pre-process the data flow<br />

com<strong>in</strong>g out from the pixel array. The first one is a column-level<br />

16-bit accumulator allow<strong>in</strong>g to sum a row of pixels <strong>in</strong> one clock<br />

cycle, for example to average a group of rows for 1-D<br />

applications. The second one is able to extract on the fly the<br />

local contrast direction and magnitude (relative change of<br />

illum<strong>in</strong>ation between neighbour<strong>in</strong>g pixels) when data is<br />

transferred from the pixel array to the memory. Thus it offers a<br />

data representation facilitat<strong>in</strong>g image analysis, without the<br />

overhead <strong>in</strong> terms of process<strong>in</strong>g time.<br />

Data transfer between the pixel array and memory or<br />

peripherals is performed by a group of 4 (10 bits per pixel) or<br />

a 8 (8 bits per pixel) pixels <strong>in</strong> parallel at system clock rate.<br />

This image data can be processed with the icyflex’s Data<br />

Process<strong>in</strong>g Unit (DPU) which has been complemented with a<br />

Graphical Process<strong>in</strong>g Unit (GPU) tailored for vision<br />

algorithms, able to perform simple arithmetical operations on<br />

8- or 16-bit data grouped <strong>in</strong> a 64-bit word.<br />

Internal SRAM be<strong>in</strong>g size consum<strong>in</strong>g, the <strong>in</strong>ternal data and<br />

program memory space is limited to 128 KiBytes. This<br />

memory range can be extended with an external SDRAM up<br />

to 32 MiBytes. The whole memory space is unified which<br />

means it is accessible via the data, program and DMA busses.<br />

An <strong>in</strong>ternal DMA work<strong>in</strong>g on 8/16/32 and 64 bits enables<br />

transfers from/to the vision sensor, memories and peripherals<br />

with data pack<strong>in</strong>g and unpack<strong>in</strong>g features. The DMA has a<br />

2 dimensional transfer mode for the source as well as the<br />

dest<strong>in</strong>ation addresses which is a prerequisite for vision<br />

applications.<br />

A parallel peripheral <strong>in</strong>terface (PPI) is <strong>in</strong>corporated to enable<br />

data transfer to an external DSP for vision applications<br />

requir<strong>in</strong>g more process<strong>in</strong>g power than that available on-chip.<br />

This PPI port also enables the coupl<strong>in</strong>g of an external sensor,<br />

such as a high resolution colour imager, to icycam.<br />

To further improve flexibility and ease of use, SPI, UART,<br />

GPIO and JTAG <strong>in</strong>terfaces are also implemented on icycam.<br />

This wide range of <strong>in</strong>terfaces makes icycam a truly versatile<br />

circuit. When the limited amount of on-chip memory and<br />

process<strong>in</strong>g power is sufficient, it can be used as a s<strong>in</strong>gle chip<br />

solution. When a high amount of data has to be processed it<br />

can be used with an external memory. F<strong>in</strong>ally, if a high<br />

process<strong>in</strong>g power is required, it can be used as a sensor<br />

connected to an external DSP.<br />

The chip is <strong>in</strong> the process of be<strong>in</strong>g <strong>in</strong>tegrated <strong>in</strong> a 0.18 µm<br />

optical technology. It <strong>in</strong>corporates all the necessary test<br />

hardware <strong>in</strong> order to be easily testable <strong>in</strong> production.<br />

[1] M. Morgan, et al., “icyflex – A Low Power 32-bit Microcontroller<br />

Core”, <strong>CSEM</strong> Scientific and Technical Report 2006, page 20<br />

[2] P. Heim, et al., “High dynamic range versatile front-end for vision<br />

systems”, <strong>in</strong> this report, page 25


Programmable Multi-Processor Eng<strong>in</strong>e for Ultra-Low-Power S<strong>in</strong>gle-Chip DVB Receiver<br />

C. Arm, P.-D. Pfister, F. Rampogna, Ch. Ruppert • , A. Duret •<br />

A family of System-On-Chip (SoC) circuits implement<strong>in</strong>g terrestrial mobile digital TV (DVB-T/H) receivers as s<strong>in</strong>gle-die solutions requir<strong>in</strong>g very few<br />

external components has been developed and is be<strong>in</strong>g produced by the <strong>in</strong>dustrial partner of this project. The use of ultra-low-power programmable<br />

DSPs for the implementation of the Orthogonal Frequency Division Multiplex (OFDM) demodulation and adaptive channel estimation/correction<br />

allows an automatic adaptation of the receiver to the fast-chang<strong>in</strong>g reception conditions encountered <strong>in</strong> mobile receivers<br />

<strong>CSEM</strong> is participat<strong>in</strong>g <strong>in</strong> a CTI/KTI project whose goal is to<br />

develop modem core <strong>in</strong>tellectual property (IP) support<strong>in</strong>g<br />

multiple broadband wireless OFDM modulations (DAB, DVB,<br />

T-DMB, MediaFlo, etc.). The core is architectured as a<br />

software def<strong>in</strong>ed radio implement<strong>in</strong>g a multiprocessor<br />

architecture based on <strong>CSEM</strong> Macgic ® DSP core [1] .<br />

The f<strong>in</strong>al goal for the <strong>in</strong>dustrial partner [2] of this project is the<br />

production of a family of low-cost, low voltage, ultra-low power<br />

s<strong>in</strong>gle-die digital television receivers <strong>in</strong> advanced CMOS<br />

technologies (90 nm and smaller geometries). The first<br />

member of this family is the AS-101, which targets the<br />

follow<strong>in</strong>g ETSI [3] standards:<br />

• DVB-T, Digital Video Broadcast<strong>in</strong>g for low-power<br />

terrestrial digital television receivers<br />

• DVB-H, for mobile ultra-low-power multimedia receivers.<br />

The low power consumption, of less than 325 mW for DVB-T<br />

reception, together with the small footpr<strong>in</strong>t, less than 100 mm2 for a complete module, a very short bill of material, and a<br />

choice of standard host <strong>in</strong>terfaces (USB2.0, SDIO, SPI) make<br />

them ideal for a very wide range of mobile consumer<br />

applications.<br />

The structure of the AS-101 DVB receiver chip is shown <strong>in</strong><br />

Figure 1. The circuit implements a multiband zero<br />

<strong>in</strong>termediate frequency RF-tuner, the reprogrammable OFDM<br />

eng<strong>in</strong>e made of multiple Macgic ® DSP cores and hardware<br />

accelerators, the channel decod<strong>in</strong>g and the l<strong>in</strong>k layer data<br />

stream extraction us<strong>in</strong>g a standard 32-bit processor core<br />

together with error correction hardware accelerators.<br />

Figure 1: AS-101 S<strong>in</strong>gle-die 90 nm DVB-T/H receiver structure<br />

Two other circuits are members of this family of low-power<br />

OFDM receivers: the AS-102 limited to the support of the<br />

DVB-T standard, and the AS-103 which improves the<br />

reception <strong>in</strong> difficult conditions by allow<strong>in</strong>g antenna (spatial)<br />

diversity (use of multiple reception antennas).<br />

The <strong>CSEM</strong> has developed the Macgic ® DSP architecture. The<br />

DSP has been specially tailored and optimized to best suit<br />

OFDM demodulation and channel estimation/correction<br />

algorithms. New <strong>in</strong>structions and specific operations have<br />

been added; some others, irrelevant to the targeted<br />

application, removed from the <strong>in</strong>struction set, so as to<br />

optimise its speed and energy consumption.<br />

The software def<strong>in</strong>ed radio technology (SDR) architecture,<br />

based on the Macgic ® cores, is a key element of ensur<strong>in</strong>g<br />

quality of service. In addition, the flexibility of <strong>CSEM</strong><br />

programmable technology allows adaptive data process<strong>in</strong>g to<br />

therefore guarantee the best performance <strong>in</strong> all reception<br />

conditions. Our <strong>in</strong>novative DSP based approach provides the<br />

flexibility to select the most appropriate algorithm <strong>in</strong> many<br />

circumstances and conditions, and <strong>in</strong> particular to recover<br />

weak signals <strong>in</strong> a noisy environment or compensate for the<br />

Doppler effect.<br />

Adaptive channel correction algorithms have been devised<br />

and implemented. These algorithms improve the<br />

demodulation signal-to-noise ratio and therefore reduce the bit<br />

error rate under the most common adverse mobile reception<br />

conditions:<br />

• Multipath: S<strong>in</strong>gle-frequency networks where more than<br />

one transmitter broadcasts the same stream, and/or<br />

multiple electromagnetic obstacles/mirrors such as<br />

build<strong>in</strong>gs (and walls/w<strong>in</strong>dows when used <strong>in</strong>doors) that<br />

scatter and/or reflect the broadcast signal, may lead to<br />

potentially destructive <strong>in</strong>terferences, depend<strong>in</strong>g on the<br />

spatial location of the receiver. Such <strong>in</strong>terferences can be<br />

countered through antenna diversity.<br />

• Fast chang<strong>in</strong>g reception conditions caused by mov<strong>in</strong>g<br />

receiver or obstacles, which are typical <strong>in</strong> urban areas.<br />

• Doppler effect that is caused by fast-mov<strong>in</strong>g objects:<br />

typically a mobile receiver located <strong>in</strong> a car/tra<strong>in</strong>.<br />

Prototypes and demonstrators have been developed and have<br />

been available for more than one year. The AS-101 circuit has<br />

entered the production stage and has already been licensed<br />

to a few customers of the <strong>in</strong>dustrial partner.<br />

The project partners are Abilis Systems and HEIG-VD [4] .<br />

This work was partly funded by the CTI/KTI which <strong>CSEM</strong><br />

thanks.<br />

•<br />

Abilis Systems<br />

[1] F. Rampogna, et al., “FPGA Prototyp<strong>in</strong>g Platform for the<br />

Macgic DSP Cores”, <strong>CSEM</strong> Scientific and Technical Report<br />

2003, page 27<br />

[2] www.Abiliss.com<br />

[3] www.etsi.org/WebSite/Technologies/DVB.aspx<br />

[4] www.heig-vd.ch<br />

31


PHOTONICS<br />

Nicolas Blanc<br />

The Photonics Division has over the last few years enjoyed a<br />

steady growth <strong>in</strong> terms of <strong>in</strong>come, number of employees and<br />

technologies. To further susta<strong>in</strong> and re<strong>in</strong>force <strong>CSEM</strong> activity <strong>in</strong><br />

this doma<strong>in</strong>, major decisions were taken at the end of 2006<br />

with a strong impact on key changes <strong>in</strong> the Photonics Division.<br />

Firstly, the two sections “Image Sens<strong>in</strong>g” and “Optoelectronics<br />

Systems” moved <strong>in</strong> September <strong>2007</strong> from the Badenerstrasse<br />

to its new site <strong>in</strong> Technopark Zürich, where <strong>CSEM</strong> Zurich<br />

rents 1’1000 m2 . The completely new and modern<br />

<strong>in</strong>frastructure <strong>in</strong>cludes several hundred m2 of lab space,<br />

mostly optical labs with conditioned and filtered air. <strong>CSEM</strong><br />

Zurich benefits now from a very central location with excellent<br />

public transport: Zurich ma<strong>in</strong> railway station is with<strong>in</strong><br />

10 m<strong>in</strong>utes and Zurich airport can be reached with<strong>in</strong><br />

20 m<strong>in</strong>utes by tra<strong>in</strong>. Moreover the new location offers many<br />

potential synergies with nearby small- and medium-size<br />

companies, <strong>in</strong>clud<strong>in</strong>g numerous start-ups and high-tech<br />

corporations.<br />

Secondly, the two sections “Micro-optical Systems” and<br />

“Polymer Optoelectronics” moved <strong>in</strong> January 2008 to Basel to<br />

build-up a new Division entitled “Functional Coat<strong>in</strong>gs”. This<br />

Division is located <strong>in</strong> the Areal Rosental and will further<br />

develop technologies related to passive (e.g. micro-optical<br />

elements and optical security devices) as well as active (e.g.<br />

polymer light-emitt<strong>in</strong>g devices, PLEDs) opto-electronics<br />

components and systems, with a focus on organic materials<br />

and mould<strong>in</strong>g, emboss<strong>in</strong>g, as well as various pr<strong>in</strong>t<strong>in</strong>g<br />

processes. The Functional Coat<strong>in</strong>gs Division benefits from<br />

important <strong>in</strong>vestments <strong>in</strong>clud<strong>in</strong>g 500 m2 of fully equipped<br />

clean rooms. <strong>CSEM</strong> presence <strong>in</strong> Basel is also expected to<br />

significantly re<strong>in</strong>force its R&D activity, <strong>in</strong> particular for<br />

applications <strong>in</strong> the doma<strong>in</strong> of Life Science, Chemistry and<br />

Pharmaceutics.<br />

On the scientific and technical side, the Photonics Division <strong>in</strong><br />

<strong>2007</strong> kept its focus on the development of optoelectronic<br />

components, <strong>in</strong>clud<strong>in</strong>g PLEDs, micro-optical elements and<br />

image sensors, as well as their comb<strong>in</strong>ation <strong>in</strong> highly<br />

<strong>in</strong>tegrated and compact systems. One strategic axis rema<strong>in</strong>s<br />

with the development of novel devices on the basis of polymer<br />

materials which can be very advantageous <strong>in</strong> terms of costs<br />

and for applications requir<strong>in</strong>g large area devices. This is<br />

notably achieved thanks to the use of low cost manufactur<strong>in</strong>g<br />

processes derived from the pr<strong>in</strong>t<strong>in</strong>g <strong>in</strong>dustry. In this field the<br />

material properties and formulation are key to the<br />

development and optimization of state-of-the art polymer<br />

based optoelectronics components. In order to speed up the<br />

screen<strong>in</strong>g and formulation of solvent processed organic LEDs,<br />

a modified pipett<strong>in</strong>g-robot together with an automated optoelectrical<br />

characterization system have been developed. This<br />

high-throughput apparatus allows the fabrication and<br />

characterization of batches of 49 PLED samples <strong>in</strong> one<br />

experimental run, enabl<strong>in</strong>g thus the systematic study of the<br />

<strong>in</strong>fluence of parameter variations to the overall device<br />

performances and provid<strong>in</strong>g extensive datasets for material<br />

test<strong>in</strong>g, device optimization and device modell<strong>in</strong>g. A<br />

concentration sweep of <strong>in</strong>dividual blend components can for<br />

example quickly reveal the ideal blend ratio for optimum<br />

composition.<br />

3D-cameras based on the Time-of-Flight pr<strong>in</strong>ciple are able to<br />

provide depth maps of their environment <strong>in</strong> real-time. Different<br />

modulation schemes from cont<strong>in</strong>uous wave modulation,<br />

pulsed mode and more complex modulations schemes can be<br />

used as well. In all cases the 3D camera relies on an active<br />

illum<strong>in</strong>ation that can be <strong>in</strong>tensity modulated at high frequency.<br />

Alternatively the detailed imag<strong>in</strong>g of fast mov<strong>in</strong>g objects<br />

(> 100 m/s) also calls for flash light illum<strong>in</strong>ation. For such<br />

applications a new illum<strong>in</strong>ation unit has been realized based<br />

on multi-mode vertical-cavity surface-emitt<strong>in</strong>g laser arrays.<br />

This approach reaches modulation frequencies of up to<br />

80 MHz and an optical peak output power of 1.3 Watt.<br />

M<strong>in</strong>iaturization and low-power are two key characteristics of<br />

<strong>CSEM</strong> heritage and these characteristics are reflected <strong>in</strong><br />

many projects. As an example with<strong>in</strong> the European project<br />

MuFly, <strong>CSEM</strong> has developed a m<strong>in</strong>iaturized and light weight<br />

360° camera module for autonomous micro aerial vehicles.<br />

The camera fulfils str<strong>in</strong>gent requirements: a power<br />

consumption of less than 1 mW, a weight of less than 5 g and<br />

small dimensions of ~2 cm3 , while provid<strong>in</strong>g a high dynamic<br />

range <strong>in</strong> excess of 140 dB for reliable <strong>in</strong>door and outdoor<br />

operations under various illum<strong>in</strong>ation conditions. A further<br />

example of m<strong>in</strong>iaturization is provided <strong>in</strong> the development of a<br />

highly <strong>in</strong>tegrated optical l<strong>in</strong>ear. The latter is designed to fit <strong>in</strong> a<br />

volume of only 50 mm3 while provid<strong>in</strong>g spatial resolution <strong>in</strong> the<br />

100 nm range at a speed of up to 5 m/s. This is achieved<br />

thanks to a dedicated custom image sensor, a folded<br />

telecentric optics manufactured by <strong>in</strong>jection mould<strong>in</strong>g and<br />

further SMD components <strong>in</strong>clud<strong>in</strong>g a LED illum<strong>in</strong>ation and<br />

passive elements, all components be<strong>in</strong>g mounted on one<br />

s<strong>in</strong>gle flexible pr<strong>in</strong>t.<br />

Last but not least <strong>CSEM</strong> has built up its capability <strong>in</strong> the<br />

test<strong>in</strong>g and qualification of <strong>in</strong>tegrated circuits and optical<br />

sensors for small volume series. The test<strong>in</strong>g of a circuit is an<br />

essential but also quite an expensive step <strong>in</strong> the production<br />

flow of semiconductor devices. Frequently <strong>CSEM</strong> customers<br />

are look<strong>in</strong>g for small volume production of components with<br />

very high expectations with respect to quality. A test<strong>in</strong>g<br />

environment has thus been set up to support such production<br />

verification and qualification <strong>in</strong>-house ensur<strong>in</strong>g very short<br />

qualification time after prototype evaluation. This is part of the<br />

new offer<strong>in</strong>g of <strong>CSEM</strong> and <strong>in</strong> particular the Photonics Division<br />

to its partners and customers.<br />

33


M<strong>in</strong>iaturized 360°-Camera Module for Collision Avoidance<br />

P. Ferrat, C. Gimkiewicz, S. Neukom, Y. Zha, A. Brenzikofer, T. Baechler<br />

Omni-view cameras for autonomous micro aerial vehicles have to fulfil str<strong>in</strong>gent requirements: low power consumption (< 1 mW), low weight<br />

(< 5 g), small dimensions (~ 2 cm 3 ), and a high dynamic range (> 140 dB) for reliable <strong>in</strong>door and outdoor operations under various illum<strong>in</strong>ation<br />

conditions.<br />

The presented 360°-vision ultra-m<strong>in</strong>iature camera platform<br />

(Figure 1) is based on two components: a catadioptric lens<br />

system and a dedicated image sensor. The optical system<br />

consists of a hyperbolic mirror and an imag<strong>in</strong>g lens (Figure 2).<br />

The vertical field of view is +10° to -35°.<br />

Figure 1: Picture of the complete camera module<br />

The CMOS image sensor (Figure 3) provides a polar pixel<br />

field with 128 (polar) by 64 (radial) pixels. S<strong>in</strong>ce the number of<br />

pixels for each circle is constant, the unwrapped panoramic<br />

image achieves a constant resolution for all image regions.<br />

The whole camera module delivers 40 frames per second and<br />

<strong>in</strong>cludes an optical image preprocess<strong>in</strong>g for an effortless remapp<strong>in</strong>g<br />

of the acquired image <strong>in</strong>to undistorted cyl<strong>in</strong>drical<br />

coord<strong>in</strong>ates.<br />

Figure 2: Schematics draw<strong>in</strong>g of the optical sub-system when used<br />

for triangulation<br />

Figure 3: Layout of the image sensor<br />

The very high dynamic range is achieved by the ProgLog<br />

pixel implemented on the image sensor. For low optical <strong>in</strong>put<br />

34<br />

power the pixel has a l<strong>in</strong>ear response, whereas for high<br />

optical <strong>in</strong>put power the pixel shows a logarithmic behaviour.<br />

The kneepo<strong>in</strong>t between l<strong>in</strong>ear and logarithmic behaviour can<br />

be set by apply<strong>in</strong>g an external voltage to the p<strong>in</strong> VLOG.<br />

Triangulation with omni-view cameras<br />

Especially for fly<strong>in</strong>g robots, fast object recognition and<br />

collision avoidance has to be ensured. Here, the application of<br />

an active triangulation system is proposed (Figure 2). A laser<br />

module is mounted on top of the omni-view camera. The laser<br />

emits a 360° laser plane. The reflections of the laser plane on<br />

objects <strong>in</strong> a room form a distorted circle, where the distortion<br />

is a measure for the object distance. Figure 4 shows the<br />

calculated distance resolution for objects closer than 3 m. The<br />

resolution can be <strong>in</strong>creased by various means if required. The<br />

plot <strong>in</strong> Figure 4 is computed for a distance of the laser to the<br />

0° axis of 150 mm and a laser beam angle of 8˚ (Figure 4).<br />

Figure 4: Distance resolution of the optical sub-system shown <strong>in</strong><br />

Figure 2.<br />

Stereo vision omni-view camera system<br />

Plac<strong>in</strong>g one camera up-side-down onto another one <strong>in</strong>creases<br />

the vertical field of view to -35° to + 35°. The overlapp<strong>in</strong>g area<br />

(-10° to +10°) allows a stereo view of the captured objects. To<br />

calculate the distance D to the objects, the object ray angles<br />

of both cameras (α1 and α2) are comb<strong>in</strong>ed with the distance<br />

Dcam between the optical axes of the two cameras:<br />

D cam<br />

D =<br />

tan (α 1)<br />

+ tan(α<br />

2 )<br />

Conclusion<br />

The presented camera module can be used <strong>in</strong> many different<br />

areas, but ideally <strong>in</strong> applications need<strong>in</strong>g <strong>in</strong>stantaneous omnidirectional<br />

view <strong>in</strong>clud<strong>in</strong>g distance <strong>in</strong>formation. Possible<br />

application fields are: Automotive, Surveillance and Security,<br />

Robotics, Navigation and Collision Avoidance.<br />

The Mufly project has been supported by the 6 th Framework<br />

Program of the European Commission contract number FP6-<br />

IST-034120, Action l<strong>in</strong>e: Cognitive Systems.


Optoelectronic Test Equipment for Image Sensors and Systems Qualification<br />

A. Baumgartner<br />

The test<strong>in</strong>g of a circuit is an essential but quite expensive step <strong>in</strong> the production flow of semiconductor devices. Frequently <strong>CSEM</strong> customers are<br />

look<strong>in</strong>g for small volume production with a high quality level. Therefore a test<strong>in</strong>g environment has been set up to support production verification and<br />

qualification <strong>in</strong>-house with moderate <strong>in</strong>vestments and <strong>in</strong> a very short time after prototype evaluation. This is achieved thanks to the development of<br />

very similar rout<strong>in</strong>es for both evaluation measurements at the prototype level and for production verification.<br />

Follow<strong>in</strong>g the evaluation of the first prototypes, the set-up of a<br />

fully automatic test system for production test<strong>in</strong>g typically<br />

requires a large effort and high <strong>in</strong>vestments.<br />

Quite often for products result<strong>in</strong>g from “lead<strong>in</strong>g edge” <strong>research</strong><br />

projects, the standard <strong>in</strong>dustry levels of quality are expected<br />

but for significantly lower quantities. Furthermore the<br />

development of test plans for automatic test equipments<br />

(ATE) can be quite costly due to the high time pressure for<br />

rapid development cycles and a quick ramp up of the<br />

production.<br />

Prototype evaluation is a key phase <strong>in</strong> the development of<br />

novel image sensors. This evaluation can be very timeconsum<strong>in</strong>g<br />

and needs to be repeated for each new sensor<br />

circuit. The measurements done dur<strong>in</strong>g evaluation are similar<br />

to the test<strong>in</strong>g cycles for the production verification. For largevolume<br />

production, test<strong>in</strong>g is normally done on dedicated test<br />

systems. In this project, a cost-effective evaluation system for<br />

production test<strong>in</strong>g was <strong>in</strong>vestigated and implemented for low<br />

volume production.<br />

To be able to verify a device <strong>in</strong> a short time, special test<strong>in</strong>g<br />

features and design for test (DfT) generally have to be<br />

implemented:<br />

• Insertion of structural test<strong>in</strong>g for digital design (blocks)<br />

such as the exchange of flip-flops with scan flip-flops<br />

• Insertion of special dedicated “test“ registers to guarantee<br />

the control- and observability of analogue blocks<br />

This work has to be done dur<strong>in</strong>g the design phase and the<br />

fault coverage of these tests has to be checked before the end<br />

of the design phase. Therefore, a test concept for production<br />

test<strong>in</strong>g needs to be done and elaborated before the project<br />

design phase starts.<br />

For manufactured optical semiconductors, the follow<strong>in</strong>g<br />

procedure is used:<br />

• Manufacture wafers with PCM structures (=dedicated test<br />

structures), verify PCM structures <strong>in</strong> wafer fabrication site<br />

• Samples are first tested us<strong>in</strong>g a (wafer) prober and a<br />

needlecard (probecard) to contact the pads. The fail<strong>in</strong>g<br />

samples are marked. This first measurement is done <strong>in</strong> a<br />

clean atmosphere (open wafers) <strong>in</strong> the pre-test site, e.g. <strong>in</strong><br />

a clean room at <strong>CSEM</strong> <strong>in</strong> Zurich. To guarantee correct<br />

operation over the full temperature range, this first test is<br />

done at one temperature with<strong>in</strong> the specified limits (e.g.<br />

hot)<br />

• The good samples are packaged <strong>in</strong> s<strong>in</strong>gle-die<br />

packages,on multi-die “chip-carriers” like Multi-Chip-<br />

Packages/Multi-Chip-Modules (MCP/MCM) or bonded<br />

directly on circuit boards (e.g. on “flexpr<strong>in</strong>ts”)<br />

• The packaged samples are tested a second time at a<br />

different temperature.<br />

An automatic test system for evaluation and small-volume<br />

production test<strong>in</strong>g us<strong>in</strong>g a National Instruments LabVIEW® -<br />

based system was chosen as a solution for <strong>CSEM</strong>. For cost<br />

reasons the measurement hardware is based on PC<br />

components. It consists of a PC with 19 PXI cards (<strong>in</strong> 2<br />

external racks), a semiautomatic prober <strong>in</strong> a dark cab<strong>in</strong>et and<br />

an Ulbricht sphere.<br />

With the above described hardware the ma<strong>in</strong> challenge is the<br />

capability to test semiconductors very fast. Therefore,<br />

additional external equipment consist<strong>in</strong>g of a logic analyzer<br />

and a pattern generator were <strong>in</strong>tegrated <strong>in</strong>to the system. The<br />

complete test<strong>in</strong>g set-up is controlled from LabVIEW with the<br />

TestStand add-on LabVIEW tool.<br />

The test equipment looks as displayed <strong>in</strong> Figure 1.<br />

PXI l<strong>in</strong>k<br />

Logic<br />

Analyzer<br />

PXI<br />

System<br />

Ulbricht<br />

sphere<br />

RS232<br />

GPIB<br />

PC1: LabVIEW 8.5<br />

XP<br />

DUT<br />

Prober<br />

RS232<br />

PC2: Proberbech<br />

NT4.0<br />

Figure 1: Schematics of the test equipement.<br />

Dark Room<br />

Clean Room<br />

With this setup, small volume production test<strong>in</strong>g can be<br />

performed and an automatic system evaluation flow (system<br />

qualification) can/will be easily implemented. <strong>CSEM</strong> has now<br />

the possibility to test optical circuits on wafer as well as on<br />

packaged samples.<br />

Due to the high flexibility of this system, circuits with high<br />

frequency requirements and/or with a high p<strong>in</strong> count can be<br />

tested. Moreover new equipment can be easily <strong>in</strong>tegrated <strong>in</strong><br />

the future.<br />

This system implementation was possible thanks to the<br />

support of the Wilsdorf foundation which <strong>CSEM</strong> would like to<br />

acknowledge.<br />

35


Highly Integrated Optical L<strong>in</strong>ear Encoder<br />

C. Gimkiewicz, E. Innerhofer, A. Perk<strong>in</strong>s, C. Lotto, B. Schaffer, S. Schneiter, D. Beyeler, S. Beer, A. Baumgartner, C. Urban,<br />

S. Neukom<br />

Optical l<strong>in</strong>ear encoders on mov<strong>in</strong>g rail systems have to fulfill str<strong>in</strong>gent size and electrical power requirements with distance resolution capacities <strong>in</strong><br />

the 100 nm range. However, cost related issues like packag<strong>in</strong>g tolerances, ruler quality etc. have also to be taken <strong>in</strong>to account.<br />

An optical encoder measures the signal modulation by a light<br />

beam reflected or transmitted by a ruler. By <strong>in</strong>terpolation a<br />

resolution 100 – 1000 times below the grat<strong>in</strong>g period of the<br />

ruler is achieved. Ruler <strong>in</strong>accuracies like fabrication errors,<br />

degradation effects and dust are partially compensated by<br />

imag<strong>in</strong>g (or shadow<strong>in</strong>g) several tens of grid l<strong>in</strong>es onto the<br />

encoder sensor.<br />

The system development is challeng<strong>in</strong>g when a rigorous size<br />

reduction is wanted. In the current system under development,<br />

the image sensor, the light source, the electronic PCB and the<br />

optical module are designed to fit <strong>in</strong> a total system volume of<br />

50 mm3 . To achieve this compactness the optical paths have<br />

to be folded. Figure 1 shows the layout of the optical system.<br />

Figure 1: Folded telecentric system and illum<strong>in</strong>ation system for the<br />

m<strong>in</strong>iature l<strong>in</strong>ear encoder.<br />

A light source illum<strong>in</strong>ates the ruler under a certa<strong>in</strong> angle. The<br />

laser written grooves are imaged onto an image sensor with<br />

telecentric lens system to achieve high tolerances versus the<br />

vertical position of the grat<strong>in</strong>g <strong>in</strong> the order of +/- 0.1 mm. The<br />

bright and dark areas detected by the sensor are used to<br />

<strong>in</strong>terpolate the travelled distance. Connect<strong>in</strong>g a set of four<br />

pixels, s<strong>in</strong>e- and a cos<strong>in</strong>e-wave like signals are generated,<br />

yield<strong>in</strong>g the analog quadrature signals shown <strong>in</strong> Figure 2.<br />

Because of the limited lens size, only a few grid l<strong>in</strong>es can be<br />

imaged onto the sensor. The ruler can be fabricated <strong>in</strong> steel,<br />

thus stray light has to be taken <strong>in</strong>to account, when design<strong>in</strong>g<br />

the stop. This and the specified system speed of up to 5 m/s<br />

reduce the overall optical power on the sensor for a<br />

measurement cycle. The signal to noise ratio is however<br />

dom<strong>in</strong>ated by the shot noise (Figure 3). In the designed first<br />

amplification stage, the noise level is <strong>in</strong>creased only by 3 dB<br />

electronic noise to a total SNR of 47dB.<br />

The resolution of 0.1 µm or 0.5 µm can be programmed. The<br />

signal amplification has to be controlled to optimize the ADC<br />

usage and ensure the accuracy <strong>in</strong> the complete life-cycle. The<br />

ag<strong>in</strong>g of the light source or the degradation of the laser written<br />

grooves due to mechanical abrasion, for example, decrease<br />

the signal amplitude. Therefore, <strong>in</strong> the digital signal<br />

process<strong>in</strong>g part of the sensor, a calibration rout<strong>in</strong>e has been<br />

implemented, which configures the amplification.<br />

36<br />

(a)<br />

Optical frontend<br />

Analog path<br />

A/D converter(s)<br />

Digital process<strong>in</strong>g<br />

Digital quadrature signals<br />

Analog<br />

quadrature<br />

signals<br />

(b)<br />

Figure 2: (a) Pr<strong>in</strong>ciple of the signal generation A0, A1, A2, A3 <strong>in</strong> the<br />

optical frontend of the image sensor; the yellow squares symbolize<br />

the imp<strong>in</strong>g<strong>in</strong>g grat<strong>in</strong>g pattern, (b) pr<strong>in</strong>ciple blocks of the sensor.<br />

Figure 3: Spectral noise at the output of the first amplifier stage. The<br />

shot noise level reduces the maximum SNR to 50 dB, the electronic<br />

noise level <strong>in</strong>creases the level over the frequency range by only 3<br />

dB.<br />

Because of cost the total system assembly will result <strong>in</strong><br />

alignment errors <strong>in</strong> the range of +/- 2° concern<strong>in</strong>g the pixel to<br />

grid l<strong>in</strong>e orientation. This rotational error changes the slope of<br />

the analogue quadrature signals. For this reason, a<br />

programmable look-up table has been implemented to correct<br />

such signal slope deviations and to make the system a good<br />

candidate for mass production.<br />

This work has been partly f<strong>in</strong>anced by the CTI under contract<br />

number 8452.1 NMPP-NM. <strong>CSEM</strong> thanks them for their<br />

support.


Compact Illum<strong>in</strong>ation Modules Based on High-Power VCSEL Arrays<br />

C. Gimkiewicz, M. Columbus, S. Schneiter<br />

Multi-mode VCSEL (vertical-cavity surface-emitt<strong>in</strong>g laser) arrays are candidates for compact illum<strong>in</strong>ation modules with applications as flash light<br />

illum<strong>in</strong>ation for the detailed imag<strong>in</strong>g of fast mov<strong>in</strong>g objects (> 100 m/s) or for time-of-flight cameras with modulation frequency <strong>in</strong> the > 10 MHz<br />

doma<strong>in</strong>. <strong>CSEM</strong> has realized an illum<strong>in</strong>ation unit with modulation frequencies of up to 80 MHz and an optical peak output power of 1.3 Watt.<br />

VCSELs are prom<strong>in</strong>ent light sources <strong>in</strong> the area of <strong>in</strong> sens<strong>in</strong>g<br />

applications and communication, allow<strong>in</strong>g data rates up to<br />

10 GBit/s. Here, they are proposed for illum<strong>in</strong>ation<br />

applications, offer<strong>in</strong>g a circular beam profile, low fabrication<br />

cost and long life-time. Especially for the imag<strong>in</strong>g of fast<br />

mov<strong>in</strong>g objects they are of <strong>in</strong>terest, s<strong>in</strong>ce rise and fall times as<br />

short as a few nanoseconds are feasible. The optical output<br />

power per VCSEL diode is <strong>in</strong> the order of 10 mW; for a<br />

23 VSCEL array it is <strong>in</strong> the order of 100 mW and for a<br />

complete module it can be <strong>in</strong> the order of one Watt at a<br />

wavelength of 850 nm. An illum<strong>in</strong>ation unit with modulation<br />

frequencies of up to 80 MHz and an optical output peak power<br />

of 1.3 Watt has been realized (Figure 1).<br />

(a) (b)<br />

Figure 1: Photography of (a) a VCSEL array with 23 diodes (Avalon<br />

Photonics), (b) the test board.<br />

One may note that for thermal and eye-safety reasons and for<br />

the shadow free illum<strong>in</strong>ation of the field of view it is of<br />

advantage to distribute the laser diode arrays. The developed<br />

test board on a thermally conductive substrate has a size of<br />

45 mm x 45 mm and can support at maximum 16 arrays with<br />

23 devices per array (Figure 1). A high power transistor<br />

driv<strong>in</strong>g circuit provides driv<strong>in</strong>g currents up to 1 Ampere.<br />

However, <strong>in</strong> this current range the self-heat<strong>in</strong>g of the VCSELs<br />

decreases the optical power. In cw mode for a s<strong>in</strong>gle array<br />

with 23 devices, this thermal roll-over occurs at around<br />

380 mA at room temperature. In pulsed mode, the self-heat<strong>in</strong>g<br />

effect is reduced and peak powers above 130 mW per array<br />

can be achieved (Figure 2).<br />

Figure 2: Optical DC power output of a VCSEL array with 23 diodes<br />

(Avalon Photonics).<br />

In a set-up with 10 VCSEL arrays of this k<strong>in</strong>d, an optical DC<br />

power of 565 mW has been demonstrated. S<strong>in</strong>ce the signal<br />

has a s<strong>in</strong>usoidal shape, the peak power output is 1.33 W at<br />

80 MHz modulation frequency (Figure 3). It has been<br />

achieved with fan cool<strong>in</strong>g, only.<br />

Figure 3: Ten VCSEL arrays are modulated at 80 MHz modulation<br />

frequency. The red l<strong>in</strong>e corresponds to the optical power curve, violet<br />

l<strong>in</strong>e to the driv<strong>in</strong>g current, green l<strong>in</strong>e to the voltage and blue l<strong>in</strong>e to<br />

the fast Fourier transform signal generated over the sampled <strong>in</strong>terval.<br />

A draw-back of multi-mode VCSELs is their vary<strong>in</strong>g far-field<br />

<strong>in</strong>tensity, which is not only dependent on the radiation angle<br />

but also on the driv<strong>in</strong>g current: marg<strong>in</strong>al areas of an<br />

illum<strong>in</strong>ated area suffer from a lower, non-l<strong>in</strong>ear illum<strong>in</strong>ation<br />

<strong>in</strong>tensity at low driv<strong>in</strong>g currents, s<strong>in</strong>ce the higher order modes<br />

appear only at higher driv<strong>in</strong>g currents (Figure 4a). With the<br />

help of a diffus<strong>in</strong>g element, the current dependency of the farfield<br />

pattern can be reduced (Figure 4b). The power variation<br />

at a far-field angle of 10° is only +/- 10 % compared to over<br />

+/- 50 % for a laser diode array without diffuser.<br />

(a) (b)<br />

Figure 4: Angular <strong>in</strong>tensity distribution <strong>in</strong> the far-field of a multi-mode<br />

VCSEL array for different driv<strong>in</strong>g currents; (a) with a glass plate <strong>in</strong><br />

front of the array only; (b) with a diffus<strong>in</strong>g element at 5.5 mm.<br />

<strong>CSEM</strong> would like to thank Michael Moser from Avalon<br />

Photonics. This work has been partly f<strong>in</strong>anced by the<br />

European Commission under contract number IST-34107.<br />

<strong>CSEM</strong> thanks them for their support.<br />

37


Generic Framework for Feature Extraction <strong>in</strong> Vision<br />

T. Zamof<strong>in</strong>g, P. Seitz<br />

A vision system that classifies objects <strong>in</strong> complex, natural scenes has been realized. This software project tries to map structures of the cerebral<br />

cortex <strong>in</strong>to a hierarchical b<strong>in</strong>ary matched filter [1] implemented on a computer.<br />

One of the most ambitious goals <strong>in</strong> digital image process<strong>in</strong>g is<br />

the development of universal classification algorithms,<br />

capable of “understand<strong>in</strong>g” natural scenes with robustness<br />

and reliability similar to those demonstrated by natural vision<br />

systems, especially by the human visual system. In particular,<br />

the functionality of such natural vision systems under very<br />

adverse conditions is a highly desirable property for practical<br />

applications <strong>in</strong> mach<strong>in</strong>e vision. This robustness can<br />

encompass translation <strong>in</strong>variance, rotation <strong>in</strong>variance, as well<br />

as a high tolerance to distortion (e.g. perspective), to partial<br />

occlusion, to reflections and shadows, to unsharp images<br />

(focus, movement). It should moreover be <strong>in</strong>dependent of<br />

local contrast and illum<strong>in</strong>ation variations, <strong>in</strong>dependent of the<br />

background and <strong>in</strong>dependent of object texture (surface<br />

texture, dirt, etc.). All classification algorithms are faced, from<br />

the outset, with the problem that the given cont<strong>in</strong>uous-tone<br />

images conta<strong>in</strong> a vast amount of <strong>in</strong>formation that must be<br />

substantially reduced <strong>in</strong> order to label the different image<br />

areas (“the objects”) correctly, accord<strong>in</strong>g to the class to which<br />

they belong.<br />

This feature-match<strong>in</strong>g process is realized as a b<strong>in</strong>ary matched<br />

filter follow<strong>in</strong>g three assumptions (see Figure 1). (1) Local<br />

orientation is a central source of relevant image <strong>in</strong>formation.<br />

This assertion is corroborated by neurobiologists’ f<strong>in</strong>d<strong>in</strong>gs on<br />

how natural vision systems work, us<strong>in</strong>g directionally selective<br />

filter banks. The process employs local orientations as the<br />

fundamental picture primitives, rather than the more usual<br />

edge locations. (2) The procedures are based on reta<strong>in</strong><strong>in</strong>g<br />

and exploit<strong>in</strong>g the local arrangement of features of different<br />

complexity <strong>in</strong> an image. The technique is based on the<br />

accumulation of evidence <strong>in</strong> b<strong>in</strong>ary channels, followed by a<br />

weighted, non-l<strong>in</strong>ear sum of the evidence accumulators. (3)<br />

The algorithm proceeds <strong>in</strong> a hierarchical fashion, start<strong>in</strong>g at<br />

low feature complexity, and rais<strong>in</strong>g the level of abstraction at<br />

each successive process<strong>in</strong>g step.<br />

Figure 1: The feature match<strong>in</strong>g process is based on a successive<br />

hierarchical approach<br />

This algorithm can be implemented very easily <strong>in</strong> a computer<br />

program. The essence of the algorithm (i.e. without graphics<br />

38<br />

and file handl<strong>in</strong>g) can be written us<strong>in</strong>g 60–70 l<strong>in</strong>es of a highlevel<br />

language (e.g. Pascal, Fortran or C). Because of the<br />

homogeneity and the simple, reusable characteristics of the<br />

feature-matcher, it should be possible, with reasonable effort,<br />

to develop a hardware implementation runn<strong>in</strong>g at low power <strong>in</strong><br />

real time.<br />

The current implementation uses a black and white firewire<br />

camera with a resolution of 640x480 pixels and is<br />

implemented on a PC us<strong>in</strong>g SSE (s<strong>in</strong>gle <strong>in</strong>struction multiple<br />

data) for speedup. With this setup a frame rate of 5 to 25<br />

frames per second (depend<strong>in</strong>g on the complexity of the<br />

templates) could be achieved. The sample below shows an<br />

example (Figure 2) with its templates to detect traffic signs<br />

that runs at about 20 fps.<br />

Figure 2: Application example: Identification of traffic signs<br />

Future work could use the ViSe (www.csem-devise.com)<br />

sensor. The advantage of the ViSe sensor is that it directly<br />

delivers orientation images with a high dynamic range.<br />

Furthermore a FPGA implementation will lead to a smart, low<br />

power and portable vision system.<br />

[1] G. Lang, P. Seitz, „Robust classification of arbitrary object<br />

classes based on hierarchical spatial feature-match<strong>in</strong>g“, MVA,<br />

1997


Efficient Screen<strong>in</strong>g and Formulation Optimization for Polymer LEDs<br />

R. Kern, T. A. Beierle<strong>in</strong>, T. Offermans, C. J. W<strong>in</strong>newisser<br />

A modified pipett<strong>in</strong>g-robot together with an automated opto-electrical characterization system allows speed<strong>in</strong>g up material screen<strong>in</strong>g and<br />

formulation optimization [1] of solvent processed organic LEDs. This high-throughput apparatus (HTA-7) allows the fabrication and characterization<br />

of batches of 49 PLED samples <strong>in</strong> one experimental run. A concentration sweep of <strong>in</strong>dividual blend components can quickly reveal the ideal blend<br />

ratio for optimum Polymer LED (PLEDs) composition.<br />

PLEDs may consist of multi-component mixtures of dedicated<br />

materials <strong>in</strong> order to adjust the desired properties. Most<br />

common goals of formulation optimization <strong>in</strong>clude: maximiz<strong>in</strong>g<br />

efficiency, m<strong>in</strong>imiz<strong>in</strong>g driv<strong>in</strong>g voltage, maximiz<strong>in</strong>g device<br />

lifetime, and adjust<strong>in</strong>g the emitt<strong>in</strong>g color of the PLED. A<br />

promis<strong>in</strong>g approach is a host/guest-system, which consists of<br />

the matrix material (host) and an emitter material (guest) <strong>in</strong><br />

small concentrations [2, 3] . Further functional materials can be<br />

used to improve the performance. In the follow<strong>in</strong>g of this<br />

report, a four component system is described: i) host (matrix)<br />

material, ii) guest (emitter) material, iii) hole transporter<br />

(TPD [4] ), and iv) electron transporter (PBD [5] ).<br />

Figure 1 shows efficiency values of devices with a fixed<br />

yellowish/green emitter concentration of 5%. For this material<br />

system PBD concentrations above 20% improve the efficiency<br />

but only if at the same time the TPD concentration is <strong>in</strong> the<br />

range of 5-10%. The next step is to check whether the chosen<br />

emitter concentration of 5% is optimal.<br />

Efficiency / cd/A @100 cd/m 2<br />

50<br />

40<br />

30<br />

20<br />

10<br />

PBD / %<br />

10<br />

20<br />

40<br />

0<br />

0 2 4 6 8 10 12 14 16 18 20<br />

TPD concentration / wt %<br />

Figure 1: Dependence of PLED efficiency <strong>in</strong> dependence of holetransporter<br />

(TPD) and electron-transporter (PBD) concentration<br />

In Figure 2a the efficiency is plotted as a function of the<br />

emitter concentration. The TPD and PBD concentrations were<br />

fixed to 5% and 30%, respectively. The emitter concentrations<br />

have been varied up to 10%. A steep <strong>in</strong>crease <strong>in</strong> device<br />

efficiency is observed below 2% emitter concentration<br />

whereas it starts to saturate at about 10% with values of<br />

~40 cd/A. If the TPD, PBD concentrations will be optimized<br />

aga<strong>in</strong> for such emitter concentrations even higher efficiencies<br />

seem to be possible. Figure 2b shows that the emission<br />

spectra exhibit a small shift towards longer wavelengths, when<br />

the emitter concentration changes from 0.5% to 10%.<br />

The high-throughput fabrication tool (HTF-7) uses the robust<br />

sp<strong>in</strong>-coat<strong>in</strong>g technique <strong>in</strong> order to allow form<strong>in</strong>g homogenous<br />

th<strong>in</strong> films of the various blends with different viscosities.<br />

However, the ultimate goal is the formulation of high<br />

performance electrolum<strong>in</strong>escent <strong>in</strong>ks for applications <strong>in</strong> the<br />

novel market doma<strong>in</strong> of Pr<strong>in</strong>ted Electronics.<br />

a)<br />

b)<br />

Efficiency / cd/A @100 cd/m 2<br />

EL <strong>in</strong>tensity / a.u.<br />

50<br />

40<br />

30<br />

20<br />

10<br />

0<br />

0 2 4 6 8 10 12<br />

Emitter concentration / wt %<br />

1.0 Emitter<br />

concentration / %<br />

0.8<br />

0.5<br />

1<br />

0.6<br />

1.5<br />

2<br />

0.4<br />

7.5<br />

10<br />

0.2<br />

0.0<br />

500 550 600 650 700<br />

Wavelength / nm<br />

Figure 2: a) Efficiency as a function of emitter concentration (dotted<br />

l<strong>in</strong>e to guide the eye). b) Influence of emitter concentration on<br />

emission spectrum.<br />

Pr<strong>in</strong>t<strong>in</strong>g will require other additives improv<strong>in</strong>g pr<strong>in</strong>tability. Such<br />

additives <strong>in</strong> turn need to be characterized <strong>in</strong> terms of electrical<br />

<strong>in</strong>fluence on the device performance, s<strong>in</strong>ce small changes <strong>in</strong><br />

composition of one component might drastically affect the<br />

performance of the complete device. This is an ideal task for<br />

an automated robot system.<br />

<strong>CSEM</strong> thanks our project partners at Ciba Specialty<br />

Chemicals for their valued cooperation and support.<br />

[1] M. Kiy, et al. “Systematic studies of polymer LEDs based on a<br />

comb<strong>in</strong>atorial approach”, Proc. SPIE vol. 6333, Organic Light<br />

Emitt<strong>in</strong>g Materials and Devices X, Z. H. Kafafi, F. So; Eds.<br />

(2006)<br />

[2] G. E. Johnson, et al., "Electro-lum<strong>in</strong>escence from s<strong>in</strong>gle layer<br />

molecularly doped polymer films", Pure & Appl. Chem., Vol. 67,<br />

175-182 (1995).<br />

[3] X. H. Yang, et al., “Polymer electrophosphorescence devices<br />

with high power conversion efficiencies”, Appl. Phys. Lett. 84, pp.<br />

2476-8 (2004).<br />

[4] TPD = N,N'-Bis(3-methylphenyl)-N,N'-diphenylbenzid<strong>in</strong>e<br />

[5] PBD = 2-(4-Biphenylyl)-5-(4-tert-butylphenyl)-1,3,4-oxadiazole<br />

39


Polymer LEDs Patterned by Ink-Jet Pr<strong>in</strong>t<strong>in</strong>g<br />

M. Ramuz, T. A. Beierle<strong>in</strong>, C. W<strong>in</strong>newisser<br />

Electrolum<strong>in</strong>escent polymeric LEDs (PLEDs) have been patterned by an <strong>in</strong>k-jet pr<strong>in</strong>t<strong>in</strong>g technology. Ink-jet pr<strong>in</strong>t<strong>in</strong>g process offers significant<br />

advantages for self-emissive pictograms, s<strong>in</strong>ce it allows adapt<strong>in</strong>g with ease the self-emissive area to various layout patterns. A strong market<br />

potential is expected for <strong>in</strong>stance <strong>in</strong> the doma<strong>in</strong> of custom-designed self-emissive man-mach<strong>in</strong>e-<strong>in</strong>terfaces and advertis<strong>in</strong>g signage applications at<br />

retailers.<br />

In contrast to <strong>in</strong>organic LEDs, organic light-emitt<strong>in</strong>g diodes<br />

(OLEDs) are surface emitters that moreover provide wide<br />

view<strong>in</strong>g angles. These technological advantages amongst<br />

others have allowed OLEDs to enter the market <strong>in</strong> the form of<br />

flat panel displays for applications <strong>in</strong> PDAs and mp3-players.<br />

However, other potential applications are attract<strong>in</strong>g <strong>in</strong>dustrial<br />

<strong>in</strong>terest as well. Especially <strong>in</strong> the doma<strong>in</strong> of advertisement,<br />

self-emissive custom-designed OLEDs have become an<br />

attractive alternative. Low-weight OLED pictograms for<br />

example can be produced with thicknesses below 3 mm,<br />

allow<strong>in</strong>g completely new applications like <strong>in</strong>corporat<strong>in</strong>g selfemissive<br />

logos <strong>in</strong>to showcases and w<strong>in</strong>dows.<br />

A typical PLED consists of several layers namely the anode,<br />

usually <strong>in</strong>dium t<strong>in</strong> oxide (ITO), one or two polymer layers and<br />

the cathode, usually a low work function metal. The total multilayer<br />

thickness of such an OLED device is typically less than<br />

one micrometer [1] . In pr<strong>in</strong>ciple, every layer can be patterned.<br />

Several different pattern<strong>in</strong>g steps can be applied <strong>in</strong> the<br />

fabrication process, namely: pattern<strong>in</strong>g of the electrodes,<br />

pattern<strong>in</strong>g of the electrolum<strong>in</strong>escent material, pattern<strong>in</strong>g of the<br />

charge carrier <strong>in</strong>jection layer, and <strong>in</strong>sertion of a patterned<br />

<strong>in</strong>sulat<strong>in</strong>g layer.<br />

Usually a photo resist layer on the ITO anode layer is<br />

patterned by photolithography. However, this process is time<br />

consum<strong>in</strong>g and not flexible with respect to the pattern.<br />

Consequently such a process is not favorable for customdesigned<br />

low volume productions.<br />

Figure 1: Cross section of an electrolum<strong>in</strong>escent pictogram based on<br />

organic materials.<br />

In order to manufacture arbitrary shapes, an <strong>in</strong>verted<br />

<strong>in</strong>sulat<strong>in</strong>g th<strong>in</strong> film is <strong>in</strong>k-jet pr<strong>in</strong>ted onto the ITO layer, as<br />

shown <strong>in</strong> Figures 1 and 2. Charge carriers can be <strong>in</strong>jected<br />

only <strong>in</strong> the <strong>in</strong>sulator-free areas where light emission will then<br />

take place [2] . Polymethyl methacrylate (PMMA) can for<br />

example be used as pr<strong>in</strong>table <strong>in</strong>sulator material.<br />

40<br />

Cathode evaporation (70 nm)<br />

Sp<strong>in</strong>-coat polymer light-emitt<strong>in</strong>g<br />

material (70 nm)<br />

Sp<strong>in</strong>-coat PEDOT: PSS (50 nm)<br />

Pattern logo by pr<strong>in</strong>t<strong>in</strong>g 100 nm th<strong>in</strong><br />

PMMA layer as an <strong>in</strong>verted image<br />

ITO (75 nm)<br />

Glass substrate<br />

As <strong>in</strong>k-jet pr<strong>in</strong>ter a dedicated commercial <strong>in</strong>k-jet pr<strong>in</strong>ter from<br />

Microdrop has been used. The lateral resolution of the<br />

pictogram is better than 100 µm. This resolution can further<br />

be improved by optimiz<strong>in</strong>g the pr<strong>in</strong>t process parameters, like<br />

nozzle diameter, <strong>in</strong>k-formulation, <strong>in</strong>k droplet formation, surface<br />

energies, to resolutions better than 50 µm.<br />

Figure 2: Two examples of <strong>in</strong>k-jet patterned electrolum<strong>in</strong>escent<br />

pictograms.<br />

Figure 3 shows two different designs of electrolum<strong>in</strong>escent<br />

pictograms. The electrolum<strong>in</strong>escent cross has been<br />

characterized <strong>in</strong> terms of its electrolum<strong>in</strong>escent performance,<br />

its current voltage characteristic I(V) and its brightness B(V).<br />

The device shows an efficacy of 5 cd/A. The power<br />

consumption per unit area is 19 mW/cm 2 at a brightness of<br />

100 cd/m 2 .<br />

Figure 3: Electrical and optical characterization of a pictogram<br />

This <strong>in</strong>k-jet pr<strong>in</strong>t<strong>in</strong>g process will allow low-volume fabrication<br />

of custom tailored signage applications.<br />

[1] Exclud<strong>in</strong>g encapsulation layers<br />

[2] M. Kiy, et al., Polytronic 2003 IEEE conference proceed<strong>in</strong>gs,<br />

p.111, 21-23 Oct. 2003 ; WO2004068584


Optical Fill Factor Enhancement for Smart Pixels<br />

M. Schnieper, C. Zschokke, F. Kaess and A. Stuck<br />

The fill factor of CMOS vision chips is significantly enhanced us<strong>in</strong>g a one-step replication process of micro-lenses with a custom made replication<br />

tool and UV curable ORMOCER ® material.<br />

The use of CMOS technology <strong>in</strong> imag<strong>in</strong>g devices and vision<br />

chips is today well established. The capability of on-chip<br />

signal process<strong>in</strong>g directly at the pixel site enables the<br />

realization of smart and high-speed vision chips that are very<br />

attractive to many application fields. Such vision chips<br />

however typically show a low fill factor (def<strong>in</strong>ed as the ratio of<br />

the optical active area to the full pixel area). It is common to<br />

have only 1/10 of the pixel surface area which captures light,<br />

result<strong>in</strong>g <strong>in</strong> a strong reduction of the sensor sensitivity and a<br />

correspond<strong>in</strong>g <strong>in</strong>crease <strong>in</strong> the required exposure time.<br />

One solution to overcome these losses is to <strong>in</strong>tegrate a small<br />

lens on top of each pixel. This lens needs to be designed<br />

carefully <strong>in</strong> order to match the specific characteristics of the<br />

imag<strong>in</strong>g optic used to collect the light onto the vision chip. A<br />

technology has been developed to deposit <strong>in</strong> one s<strong>in</strong>gle step<br />

all the lenses on the chip with a sol-gel replication process.<br />

This requires a chrome master and a special replication mould<br />

master that <strong>in</strong>corporates the negative shape of the lenses to<br />

be replicated.<br />

Figure 1: Ray trac<strong>in</strong>g for 3 lenses placed respectively <strong>in</strong> the center of<br />

the chip, between the edge and the center and at the edge of the<br />

chip.<br />

Figure 2: Representation of position correction between microlens<br />

and pixel position.<br />

The most important step <strong>in</strong> the full process is the fabrication of<br />

the mould master. This requires a suitable micro-lens array<br />

made by SUSS-MicroOptik reflow lenses. The shape and<br />

position are optimized to the specific imag<strong>in</strong>g. The choice of<br />

the latter will also def<strong>in</strong>e the maximum possible improvement<br />

of the fill factor. To avoid “vignett<strong>in</strong>g” as shown <strong>in</strong> Figure 1 a<br />

correction of the micro-lens position with respect to the pixel<br />

center (Figure 2) is <strong>in</strong>cluded <strong>in</strong> the fabrication of the mould<br />

master. This re-centers the focal po<strong>in</strong>t on the optical active<br />

area of the pixels.<br />

S<strong>in</strong>ce each vision chip can have a different layout, it is very<br />

important to ensure correct alignment. This is achieved by the<br />

chrome master. The latter <strong>in</strong>cludes alignment marks and also<br />

acts as a frame to UV cure the ORMOCER ® material only<br />

where it is needed. In this way the bond<strong>in</strong>g path and other<br />

required areas are kept free of ORMOCER ® .<br />

Mask<br />

1 st Replication<br />

Figure 3: Representation of the mould master fabrication, with the<br />

replication of the reflow lenses onto the Chrome master.<br />

The fabrication of the replication tool requires a copy of the<br />

reflow lenses onto the chrome master (Figure 3). To ensure a<br />

wafer scale process, all the positions of the lens array moulds<br />

have to be adjusted to the vision chip silicon wafer layout.<br />

After fabrication of the replication tool, a release layer is<br />

applied to it. The release layers developed by <strong>CSEM</strong> permit<br />

between 50 - 100 replications with the same release layer,<br />

before a new release layer has to be applied to the tool.<br />

F<strong>in</strong>ally, the replication on the silicon chip can be performed.<br />

With one s<strong>in</strong>gle step a full 5 <strong>in</strong>ch wafer of vision chips can be<br />

processed (an example of replicated microlenses is given <strong>in</strong><br />

Figure 4).<br />

Figure 4: Optical and SEM pictures of the manufactured microlenses<br />

By us<strong>in</strong>g a very wide angle collect<strong>in</strong>g optic, the fill factor has<br />

been improved by more than a factor 3.<br />

41


MICRO AND NANOTECHNOLOGY<br />

Harry He<strong>in</strong>zelmann<br />

Micro- and Nano- technologies (MNT) are key for applications<br />

<strong>in</strong> many diverse markets. Despite the hype, particularly about<br />

the virtues of “small technology”, <strong>in</strong>novation only happens if<br />

new technologies br<strong>in</strong>g real advantages over current<br />

solutions. One way to realize the necessary improvements is<br />

by comb<strong>in</strong><strong>in</strong>g R&D efforts <strong>in</strong> MNT with those <strong>in</strong> other<br />

discipl<strong>in</strong>es such as mechanics, optics, biology, and classical<br />

materials science. This allows the full potential of “small<br />

technology” to be unlocked, result<strong>in</strong>g <strong>in</strong> new products with<br />

new and improved properties.<br />

Micro Electrical Mechanical Systems (MEMS) can be<br />

produced <strong>in</strong> different materials and countless shapes. The<br />

specific development of MEMS for biological applications<br />

(bioMEMS) and of MEMS with optical properties (optical<br />

MEMS or MOEMS) is of particular <strong>in</strong>terest. The diffractive<br />

grat<strong>in</strong>g technology recently developed by <strong>CSEM</strong> has led to<br />

high performance components that can be <strong>in</strong>tegrated <strong>in</strong><br />

portable <strong>in</strong>struments, such as tunable lasers and<br />

spectrometers. Micromechanical cantilever structures f<strong>in</strong>d<br />

applications <strong>in</strong> force microscopy, a technique that is of<br />

<strong>in</strong>creas<strong>in</strong>g relevance <strong>in</strong> pharmaceutical and medical <strong>research</strong>.<br />

On the sub-micrometer (or nanometric) scale, plasmonic<br />

effects allow the development of components for improved<br />

light detection and emission. This is extremely <strong>in</strong>terest<strong>in</strong>g <strong>in</strong><br />

markets where comparatively small enhancements <strong>in</strong><br />

performance represent economically relevant breakthroughs.<br />

Arrangements of microspheres and molecules <strong>in</strong> regular<br />

nanoscale patterns often give rise to optical effects, which <strong>in</strong><br />

some cases can be exploited for optical filter<strong>in</strong>g and switch<strong>in</strong>g.<br />

Alternatively, when comb<strong>in</strong>ed with MEMS technologies, these<br />

arrangements can be transposed <strong>in</strong>to silicon based materials<br />

to produce nanoscale membranes. Under different conditions,<br />

nanoscale objects often arrange <strong>in</strong>to arbitrary arrangements,<br />

with, however, clearly determ<strong>in</strong>ed statistical characteristics.<br />

These patterns can be exploited for anti-counterfeit<strong>in</strong>g<br />

purposes.<br />

Nanostructured surfaces often show exceptional wett<strong>in</strong>g<br />

properties, giv<strong>in</strong>g rise to yet different applications of these<br />

nano-materials. While sol-gel processes allow the surface<br />

properties to be tuned over wide ranges, the electrosp<strong>in</strong>n<strong>in</strong>g<br />

of polymers allows the preparation of nanofibrous materials<br />

that could prove relevant for tissue and organ regeneration.<br />

A recent new orientation of biosens<strong>in</strong>g has become possible<br />

follow<strong>in</strong>g the creation of a start-up company to valorize the<br />

established WIOS technology. The new <strong>activities</strong> address the<br />

<strong>in</strong>tegration of (nano-) sensors <strong>in</strong> wearable formats such as<br />

textiles. The goal is the realization of monitor<strong>in</strong>g systems for<br />

medical and physiological parameters, e.g. for ambulant<br />

medical applications or <strong>in</strong>tegrated <strong>in</strong> protective wear for high<br />

risk professionals.<br />

43


Dissolved Oxygen Sensor with Self-Clean<strong>in</strong>g and Self-Calibration<br />

P. Niedermann, J. Gobet • , R. Pfändler •• , P. Bitsche •• , S. Liebert-W<strong>in</strong>ter *, P. Jacob *, T. Overstolz, F. Cardot, A. Hoogerwerf,<br />

A. Dommann<br />

A novel dissolved oxygen sensor for environmental applications has been developed. A gold microelectrode array is used for oxygen measurement<br />

and a diamond auxiliary electrode for self-calibration and self-clean<strong>in</strong>g, result<strong>in</strong>g <strong>in</strong> a low-ma<strong>in</strong>tenance, long-lifetime (> 1 year) device. Reliability<br />

and lifetime issues such as dielectric failure modes were addressed us<strong>in</strong>g FMEA methodology <strong>in</strong> order to systematically solve process<strong>in</strong>g issues<br />

and performance limitations.<br />

Figure 1: Sewage treatment<br />

This <strong>in</strong>novative sensor is used for membrane-less monitor<strong>in</strong>g<br />

of dissolved oxygen <strong>in</strong> the activated sludge of the secondary<br />

treatment stage <strong>in</strong> sewage treatment plants [1, 2] , such as the<br />

one depicted <strong>in</strong> Figure 1.<br />

Figure 2: Measurement pr<strong>in</strong>ciple<br />

The work<strong>in</strong>g electrode of the sensor is a gold microelectrode<br />

array, measur<strong>in</strong>g an electrochemical current correspond<strong>in</strong>g to<br />

the reduction of the O2 molecules. The measurement setup is<br />

illustrated <strong>in</strong> Figure 2. The microelectrodes are <strong>in</strong>sensitive to<br />

fluid flow and permit operation <strong>in</strong> low-conductivity liquids.<br />

Figure 3: Individual sens<strong>in</strong>g disk surrounded by auxiliary electrode<br />

The sensor chips consist of electroformed gold microdisks<br />

(Figure 3), electrically connected to the highly doped silicon<br />

substrate, and a patterned diamond electrode with a plat<strong>in</strong>um<br />

th<strong>in</strong> film contact. The diamond acts as a chemically <strong>in</strong>ert<br />

auxiliary electrode, provid<strong>in</strong>g self-clean<strong>in</strong>g by generation of<br />

potent biocide species at anodic potentials (H2O2, O3, Cl2,<br />

OH- ) act<strong>in</strong>g aga<strong>in</strong>st scale deposition and biofoul<strong>in</strong>g, as well as<br />

self-calibration by local dissolved oxygen generation by anodic<br />

polarization.<br />

The fabrication process consists of dielectric layer and<br />

diamond th<strong>in</strong> film deposition, diamond pattern<strong>in</strong>g, diamond<br />

contact metal deposition and pattern<strong>in</strong>g, followed by microdisk<br />

44<br />

mold pattern<strong>in</strong>g, seed metal deposition and gold electroform<strong>in</strong>g.<br />

Figure 4: Improvement of diamond pattern<strong>in</strong>g<br />

The pattern<strong>in</strong>g process of the polycrystall<strong>in</strong>e 1 µm thick<br />

diamond layer was improved as illustrated <strong>in</strong> Figure 4,<br />

allow<strong>in</strong>g seamless <strong>in</strong>tegration of this advanced material <strong>in</strong>to<br />

the microsystem. The diamond electrode is contacted by wire<br />

bond<strong>in</strong>g, and the diced chips are packaged <strong>in</strong> sensor heads<br />

together with a counter and a reference electrode.<br />

Figure 5: Weak spot localization<br />

The evolution from prototypes with promis<strong>in</strong>g performance to<br />

a device operat<strong>in</strong>g reliably for more than one year was<br />

achieved by failure analysis of field tested sensors and correspond<strong>in</strong>g<br />

corrective actions <strong>in</strong> the fabrication process and the<br />

measurement protocol, employ<strong>in</strong>g failure mode and effect<br />

analysis (FMEA) methodology. Dielectric weak spots were<br />

localized by optical beam <strong>in</strong>duced resistance change<br />

(OBIRCH, see Figure 5) and microdisks were characterized<br />

by focused ion beam imag<strong>in</strong>g.<br />

This sensor is now be<strong>in</strong>g <strong>in</strong>troduced to the market.<br />

The wafers were ma<strong>in</strong>ly processed at Comlab, the jo<strong>in</strong>t IMT-<br />

<strong>CSEM</strong> cleanroom facility.<br />

This work was partly funded by CTI (project n° 8039.2).<br />

<strong>CSEM</strong> thanks them for their support.<br />

•<br />

Adamant Technologies SA, La Chaux-de-Fonds<br />

••<br />

Züllig AG, Rhe<strong>in</strong>eck<br />

* EMPA, Dübendorf<br />

[1] J. Gobet, P. Rychen, F. Cardot, E. Santoli, “Microelectrode Array<br />

Sensor for Water Quality Monitor<strong>in</strong>g”, Water Sci. Technol. 47<br />

(2003) 127<br />

[2] EP 04 405039.1 “Système d’électrode pour capteur<br />

électrochimique”; EP 05 103304.1 “Procédé d’utilisation d’un<br />

capteur électrochimique et électrodes formant ce capteur”.


Microfabricated Membranes for Cell Layer Culture and Analysis<br />

T. Overstolz, A. Hoogerwerf, M. Liley, F. Spano<br />

A microfabricated membrane chip is be<strong>in</strong>g developed for cell culture and analysis. Integrated Pt-electrodes allow the detection of <strong>in</strong>tercellular<br />

junctions and the evaluation of the ‘tightness” of epithelia cell layers. These tools are designed to screen the toxicity of nanoparticles, <strong>in</strong> particular<br />

their capacity to cross biological barriers such as the lungs.<br />

Nanomaterials based on nanoparticles have become very<br />

popular for many applications, <strong>in</strong>clud<strong>in</strong>g extremely strong<br />

composite materials based on carbon nanotubes. In parallel<br />

with this development, there has been a grow<strong>in</strong>g <strong>in</strong>terest to<br />

study the toxicity of these nanoparticles to the human body. In<br />

vitro methods for these tests are be<strong>in</strong>g developed <strong>in</strong> order to<br />

complement and/or replace large scale animal screen<strong>in</strong>g<br />

tests.<br />

In the body, epithelial cells are organized <strong>in</strong> sheets of cells<br />

that make up the epithelia. All epithelia have the function of<br />

provid<strong>in</strong>g a barrier between the body and the external world.<br />

In order to achieve this, <strong>in</strong>dividual epithelial cells are jo<strong>in</strong>ed via<br />

<strong>in</strong>tercellular junctions that make the epithelium impermeable.<br />

Thus, transport across the epithelia occurs essentially through<br />

the epithelial cells (trans-cellular transport) rather than<br />

between the cells (para-cellular transport).<br />

In vitro models of epithelia must be tested for the presence of<br />

<strong>in</strong>tercellular junctions and the absence of gaps between cells,<br />

to ensure that transport across the <strong>in</strong> vitro model closely<br />

resembles that of the epithelium <strong>in</strong> vivo. One of the most<br />

widely used approaches to determ<strong>in</strong>e the ‘tightness’ of a layer<br />

of cells is to measure its electrical impedance. Electrical<br />

impedances of around 200 Ohm/cm2 may be considered<br />

representative of “good” model epithelia that may be used to<br />

study transport processes.<br />

In order to m<strong>in</strong>imize the <strong>in</strong>fluence of artifacts that <strong>in</strong>terfere with<br />

impedance measurements <strong>in</strong> aqueous media (e.g.<br />

electrolysis, electrochemical potentials, foul<strong>in</strong>g of the<br />

electrode surface), the impedance of cell layers is usually<br />

measured us<strong>in</strong>g low frequency alternat<strong>in</strong>g currents <strong>in</strong> a<br />

4-term<strong>in</strong>al sens<strong>in</strong>g approach. In the 4-term<strong>in</strong>al sens<strong>in</strong>g<br />

method, one pair of electrodes is used to <strong>in</strong>ject the current <strong>in</strong>to<br />

the system, while a second pair of electrodes measures the<br />

potential across the cell layer.<br />

Figure 1: Silicon chip with <strong>in</strong>tegrated plat<strong>in</strong>um electrodes for<br />

impedance measurement of <strong>in</strong>tercellular junctions. The central cell<br />

culture well with its yellow porous Si3N4 membrane is visible. The two<br />

other square holes act as fluidics ports.<br />

Figure 2: Close-up view of Figure 1 show<strong>in</strong>g the square well with the<br />

microporous Si3N4 membrane, <strong>in</strong>set shows a detailed view of the<br />

hexagonal hole pattern.<br />

To enhance the reproducibility of the measurements the<br />

electrode distance and placement should be well def<strong>in</strong>ed.<br />

<strong>CSEM</strong> has therefore opted to use photolithography to def<strong>in</strong>e<br />

the electrodes, rather than the less precise shadow mask<strong>in</strong>g<br />

Moreover, the precise electrode def<strong>in</strong>ition accommodates<br />

2-term<strong>in</strong>al impedance measurements, which are still <strong>in</strong> use.<br />

A microchip has been fabricated <strong>in</strong>tegrat<strong>in</strong>g a square well for<br />

cell culture <strong>in</strong>clud<strong>in</strong>g a th<strong>in</strong> microporous silicon nitride<br />

membrane, on-chip plat<strong>in</strong>um electrodes, and <strong>in</strong>lets for a<br />

microfluidic system to supply cell culture medium. A<br />

photograph of the result<strong>in</strong>g chip is shown <strong>in</strong> Figure 1, whereas<br />

a more detailed photomicrograph of the membrane is depicted<br />

<strong>in</strong> Figure 2. Th<strong>in</strong> silicon nitride membranes are especially<br />

suitable for this purpose s<strong>in</strong>ce they are transparent and thus<br />

compatible with the many optical analysis and detection<br />

techniques used <strong>in</strong> cell biology.<br />

The fabrication technology starts with the deposition and<br />

structur<strong>in</strong>g of the LPCVD nitride layer that will form the<br />

membrane. The front side structur<strong>in</strong>g def<strong>in</strong>es the hole pattern<br />

<strong>in</strong> the membrane and the backside def<strong>in</strong>es the open<strong>in</strong>g for the<br />

subsequent etch of the substrate material. Prior to this etch,<br />

when the wafers do not have much topography, plat<strong>in</strong>um<br />

electrodes are deposited and patterned us<strong>in</strong>g a photoresist<br />

liftoff. Only after the electrodes are def<strong>in</strong>ed the substrate is<br />

etched <strong>in</strong> KOH to form the membrane.<br />

The next steps foresee the <strong>in</strong>tegration of the polymer-based<br />

microfluidic system and the electrical measurements of the<br />

cell layer tightness.<br />

The project partners are the University of Glasgow and the<br />

Katholieke Universiteit Leuven.<br />

This work was partly funded by the European Commission<br />

(Contract number 515843-2). <strong>CSEM</strong> thanks them for their<br />

support.<br />

45


Metal Micro-Parts Fabrication<br />

F. Cardot<br />

Micro-parts realized by metal electrodeposition onto a silicon mold are presented; the versatility of this process is shown.<br />

Comb<strong>in</strong><strong>in</strong>g silicon deep reactive etch<strong>in</strong>g (DRIE) and microelectrochemistry<br />

leads to the realization of high resolution<br />

(~1 µm) and high aspect ratio (up to 30:1) electrodeposited<br />

micro-parts. This process is schematically depicted <strong>in</strong><br />

Figure 1. A silicon dioxide etch<strong>in</strong>g mask, (a) is realized at the<br />

surface of a highly conductive silicon wafer compris<strong>in</strong>g of a<br />

backside electrical contact (b). The silicon is etched by us<strong>in</strong>g a<br />

DRIE process (c) and the silicon mold thus created is filled by<br />

us<strong>in</strong>g an electrodeposited metal or alloy (d). The wafer is<br />

polished (e) and the electrodeposited micro-parts are released<br />

(f) by etch<strong>in</strong>g the silicon wafer <strong>in</strong> a KOH solution.<br />

46<br />

a<br />

b<br />

c<br />

Si<br />

SiO2<br />

Ti<br />

Figure 1: Schematic of the fabrication process flow of metal microparts<br />

This process can be used to fabricate a large range of microparts.<br />

For example flexible structures such as spr<strong>in</strong>gs<br />

(Figure 2) or micro-“FlexTec” structures (Figure 3) have been<br />

realized which could f<strong>in</strong>d applications <strong>in</strong> the field of chip<br />

test<strong>in</strong>g or for the realization of m<strong>in</strong>iaturized mechanical<br />

systems.<br />

a b<br />

Figure 2: Spr<strong>in</strong>g micro-parts. a) FeNi electrical contact p<strong>in</strong>;<br />

b) Ni membrane spr<strong>in</strong>g.<br />

Figure 3: Nickel micro-FlexTec h<strong>in</strong>ges<br />

d<br />

e<br />

f<br />

Ni<br />

Non-flexible structures like gears (Figure 4), rack and p<strong>in</strong>ion or<br />

turb<strong>in</strong>es (Figure 5) have been produced, which could be used<br />

<strong>in</strong> the watch <strong>in</strong>dustry.<br />

Figure 4: Nickel micro-gears<br />

Figure 5: Nickel turb<strong>in</strong>e and rack and p<strong>in</strong>ion<br />

This process can also be used for the realization of 3D folded<br />

micro-parts as illustrated <strong>in</strong> Figure 6. Figure 7 presents an<br />

example of a heterogeneous micro-part made of silicon and<br />

metal. An 8 µm diameter NiFe ball has been electrodeposited<br />

at the top of a silicon needle, 80 µm long and 2 µm across.<br />

Figure 6: Nickel folded micro-box<br />

Si<br />

NiFe<br />

2 ・ m<br />

80 ・<br />

m<br />

Figure 7: Electrodeposited FeNi ball on top of a silicon needle<br />

The work has been carried out with<strong>in</strong> the frame of <strong>in</strong>ternal<br />

<strong>research</strong> for develop<strong>in</strong>g new technologies for MEMS<br />

fabrication.


Sc<strong>in</strong>tillat<strong>in</strong>g Fiber Probes for Neurophysiology<br />

R. Eckert, B. Weber • , A. Buck • , M. Liley, R. Ischer, R. P. Stanley<br />

<strong>CSEM</strong> has been work<strong>in</strong>g with the University Hospital Zurich to develop optical probes for neurophysiology. The results of this development is a<br />

range of probes go<strong>in</strong>g from th<strong>in</strong> probes to be <strong>in</strong>serted <strong>in</strong>to tissue to large area probes to be used externally for long term measurements.<br />

Radioimag<strong>in</strong>g is widely used as a diagnostic tool <strong>in</strong> medic<strong>in</strong>e<br />

as it allows the direct imag<strong>in</strong>g of <strong>in</strong>ternal structures such as<br />

organs as well as their activity. Of these tools, positron<br />

emission tomography (PET) can give highly accurate images<br />

of the human body. PET has become extremely useful<br />

because compounds used <strong>in</strong> metabolism can be radiolabelled<br />

so that the signal strength is related to <strong>in</strong>ternal metabolic<br />

activity.<br />

Trac<strong>in</strong>g the evolution of these same compounds <strong>in</strong> time can<br />

also give an <strong>in</strong>sight <strong>in</strong>to the dynamics of metabolism. PET is<br />

too slow for this, a better option is to use a small probe that<br />

can take local measurements <strong>in</strong> real time. Together with the<br />

University Hospital Zurich and Swisstrace, <strong>CSEM</strong> has<br />

developed a range of fiber optic based probes for mak<strong>in</strong>g local<br />

physiological measurements.<br />

All fiber probes have two parts, a short part made from special<br />

fluorescent fibers and a standard glass or plastic optical fiber<br />

to transport the signal to the detection systems. The short<br />

fluorescent fibers convert ・ particles (electrons or positrons)<br />

com<strong>in</strong>g from radioactive decay that penetrate the fiber, <strong>in</strong>to<br />

light. The <strong>in</strong>tensity of the light depends on the energy of the<br />

beta particles. Only a very small quantity of light is produced –<br />

some tens of photons per beta particle.<br />

This light has to be guided without loss to a very low noise<br />

photodetection system. The fibre probes that have been<br />

developed have three different diameters, 250 µm, 500 µm<br />

and 3 mm. The th<strong>in</strong>nest fibers can be <strong>in</strong>serted <strong>in</strong>to tissue<br />

without caus<strong>in</strong>g damage, while the 3 mm probe has been<br />

designed as a surface probe for chronic (long term)<br />

measurements.<br />

The signal from the th<strong>in</strong>nest fiber is <strong>in</strong> the range of 30 counts<br />

per second (CPS) under standard operat<strong>in</strong>g conditions.<br />

However, ambient light can also enter the fiber, produc<strong>in</strong>g an<br />

unwanted background about ten orders of magnitude larger<br />

than the actual signal. In order to avoid work<strong>in</strong>g <strong>in</strong> complete<br />

darkness, the fibers have to be perfectly sealed aga<strong>in</strong>st stray<br />

light. Mak<strong>in</strong>g the fibers light tight is very challeng<strong>in</strong>g because<br />

the coat<strong>in</strong>g has to be completely opaque to light – even a<br />

s<strong>in</strong>gle micron-sized p<strong>in</strong>hole is unacceptable – while be<strong>in</strong>g th<strong>in</strong><br />

enough to allow the beta particles to reach the sc<strong>in</strong>tillat<strong>in</strong>g<br />

fiber.<br />

In order to achieve total light tightness, an opaque coat<strong>in</strong>g is<br />

applied <strong>in</strong> a layered fashion and is carefully tested after each<br />

layer to ensure specifications are achieved.<br />

The large 3 mm probes pose additional challenges. Due to<br />

their large area their cross section for ・ rays – which come<br />

from the dis<strong>in</strong>tegration of positrons (・ + particles) – is rather<br />

large. As the ・ rays can travel long distances, they are not a<br />

local signal and are therefore an unwanted noise. Optically it<br />

is impossible to tell the difference between fluorescence signal<br />

from the fiber com<strong>in</strong>g from ・‘s or ・’s. Given that the<br />

absorption length for ・ particles is much shorter than that of<br />

・ rays, the ratio between ・‘s and ・’s can be improved by<br />

th<strong>in</strong>n<strong>in</strong>g the fluorescent fiber to a th<strong>in</strong> disc 3 mm <strong>in</strong> diameter<br />

but less than 0.2 mm thick. These have been successfully<br />

used to monitor the differences <strong>in</strong> activity between the left and<br />

right hemispheres of the bra<strong>in</strong> <strong>in</strong> real time.<br />

A hardware platform was built to read the low light signal from<br />

the sc<strong>in</strong>tillat<strong>in</strong>g fibers (see Figure 1). This <strong>in</strong>cludes ultra-low<br />

noise detectors that are shielded from stray radiation by<br />

<strong>in</strong>tegrated shield<strong>in</strong>g. The system is <strong>in</strong>terfaced to a computer<br />

via a USB port.<br />

F<strong>in</strong>ally, the technology developed for the large fiber probes<br />

can also be used to track any radiolabelled material <strong>in</strong>clud<strong>in</strong>g<br />

nanoparticles. The feasibility of us<strong>in</strong>g these k<strong>in</strong>ds of<br />

measurements to check transport of nanoparticles through<br />

biological tissue has been <strong>in</strong>vestigated. Initial calculations<br />

show that the systems developed can have already<br />

sensitivities <strong>in</strong> the 10-13 Molar range which is much better than<br />

compet<strong>in</strong>g technologies.<br />

Figure 1: The detection system developed by <strong>CSEM</strong> <strong>in</strong>clud<strong>in</strong>g ultralow<br />

noise uncooled photodetectors. The detectors are protected from<br />

background radiation by <strong>in</strong>tegrated lead shield<strong>in</strong>g. The system<br />

connects to a computer via a USB port.<br />

This work was funded partly by University Hospital Zurich and<br />

the EU-Project Nanosafe.<br />

•<br />

University Hospital Zurich, PET Centrum, Rigistrasse 56, 8006<br />

Zürich, Switzerland.<br />

47


Towards an Optical Switch with J-aggregates Monolayers<br />

R. Eckert, J. D<strong>in</strong>t<strong>in</strong>ger • , T. Ebbesen • , R. P. Stanley<br />

J-aggregates are an efficient non-l<strong>in</strong>ear optical material. They have been successfully used <strong>in</strong> ultrafast all optical switches, which exploit plasmonic<br />

effects of nano-structured metal surfaces. This work aims to replace 100 nm thick J-aggregate layers <strong>in</strong> such switches by a monolayer of<br />

J-aggregates us<strong>in</strong>g a unique deposition technique.<br />

Extraord<strong>in</strong>ary optical transmission (EOT) through an array of<br />

subwavelength holes drilled <strong>in</strong> a metal film relies on the<br />

<strong>in</strong>terplay of surface plasmons (SP) with the periodicity of the<br />

nanostructure to make the otherwise opaque film transparent.<br />

EOT is not only a very active field of basic <strong>research</strong> but is<br />

currently f<strong>in</strong>d<strong>in</strong>g its way <strong>in</strong>to real world applications and<br />

devices.<br />

The concept of an ultrafast all–optical switch, which exploits<br />

EOT, has been demonstrated recently [1] . Its basic component<br />

is a gold film with an array of holes covered with J-aggregates<br />

(Figure 1). J-aggregates (JA) are formed from cyan<strong>in</strong>e<br />

molecules and have well-def<strong>in</strong>ed absorption bands. The<br />

difference between their ground and excited states is sufficient<br />

to change the transmission properties of the array.<br />

Consequently, one beam of light (the probe beam) can be<br />

switched on and off by excit<strong>in</strong>g the JA with a second beam of<br />

light (pump beam).<br />

Figure 1: Concept of an all optical switch based on EOT<br />

In the orig<strong>in</strong>al work the JA were randomly dispersed <strong>in</strong> a<br />

200 nm thick polymer film coated on one side of the hole<br />

array. The present work aims at achiev<strong>in</strong>g the same effect by<br />

us<strong>in</strong>g only a monolayer of highly ordered JA formed by a<br />

process developed at <strong>CSEM</strong> us<strong>in</strong>g a template of selfassembled<br />

dendrimers. Both, the remarkable absorption<br />

efficiency of these monolayers and the possibility of coat<strong>in</strong>g<br />

both sides of the hole array <strong>in</strong>stead of only one offer the<br />

potential to achieve bigger switch<strong>in</strong>g amplitudes between on<br />

and off states of the device.<br />

A typical hexagonal hole array with a JA monolayer on one<br />

side and its transmission spectrum are shown Figure 2. The<br />

holes are milled by focused ion beam (FIB) <strong>in</strong>to a 200 nm<br />

thick gold film deposited on a glass substrate. The array<br />

period is 525 nm and the hole diameter is 175 nm. The<br />

transmission spectrum exhibits two ma<strong>in</strong> peaks, which<br />

correspond to the surface plasmon resonances at the<br />

gold/JA/air <strong>in</strong>terface (left) and the glass/gold <strong>in</strong>terface (right).<br />

The dip <strong>in</strong> the transmission peak at 550 nm is due to the<br />

absorption of the JA monolayer which is less than 10 nm thick.<br />

48<br />

In this experiment, the pump power provided by a cw laser<br />

was not sufficient to excite all JA <strong>in</strong> the excitation volume and<br />

thereby to <strong>in</strong>duce a sufficiently big change of the <strong>in</strong>dex of<br />

refraction necessary to shift the transmission peaks of the<br />

hole array.<br />

Figure 2: a) Electron micrograph of a hole array b) Transmission<br />

spectrum of the hole array<br />

In the next step of experiments, the JA will be pumped by a<br />

pulsed laser, powerful enough to saturate the JA absorption,<br />

which ultimately should cause a shift of the transmission<br />

spectrum to the blue and thus a real switch<strong>in</strong>g of the device.<br />

This work was partly funded by the Network of excellence<br />

Plasmon-Nano-Devices with<strong>in</strong> the European Framework 6.<br />

<strong>CSEM</strong> thanks them for their support.<br />

•<br />

ISIS, Université Louis Pasteur, Strasbourg, France<br />

[1] J. D<strong>in</strong>t<strong>in</strong>ger, I. Robel, P. V. Kamat, C. Genet, T. W. Ebbesen,<br />

“Terahertz all-optical molecule-plasmon modulation”, Adv. Mat.<br />

18 (2006) 1645


Colour Filters Us<strong>in</strong>g Polystyrene Microspheres<br />

M. Guillaumée, M. Liley, R. Pug<strong>in</strong>, R. P. Stanley<br />

Strong scatter<strong>in</strong>g properties are obta<strong>in</strong>ed from a s<strong>in</strong>gle layer of randomly packed polystyrene microspheres, giv<strong>in</strong>g rise to structural colours <strong>in</strong><br />

transmission. The film colour is dependent on the sphere size, but also on the observation angle. These films might be useful for colour filters with<br />

low reflectivity.<br />

Structural colour is the name given to colours that are<br />

dom<strong>in</strong>ated by the structure of the material rather than the<br />

<strong>in</strong>tr<strong>in</strong>sic properties of the material itself. Such colours are<br />

<strong>in</strong>terest<strong>in</strong>g because they never fade or bleach. An excellent<br />

example is the metallic sheen seen from the w<strong>in</strong>gs of certa<strong>in</strong><br />

butterflies and beetles. The most well-known structural colours<br />

are related to periodic structures (diffraction grat<strong>in</strong>gs and<br />

<strong>in</strong>terference films). Random media are also known to be the<br />

orig<strong>in</strong> of several colour effects. This is the case of colloidal<br />

gold and silver nanoparticles, which were already used by<br />

Romans to colour glasses. The blue colour of the sky is due to<br />

the random nature of Rayliegh scatter<strong>in</strong>g.<br />

Figure 1: Scann<strong>in</strong>g electron micrograph show<strong>in</strong>g the random pack<strong>in</strong>g<br />

of polystyrene spheres<br />

In the present work, strong structural colours are obta<strong>in</strong>ed with<br />

a two dimensional random system [1] . The structures are made<br />

with polystyrene microspheres randomly adsorbed onto a<br />

glass substrate (see Figure 1).<br />

Figure 2: Transmission spectra <strong>in</strong> the visible for dense layers of<br />

sphere diameter Ø<br />

Experimentally various degrees of coverage have been<br />

<strong>in</strong>vestigated and the sphere diameter has been varied from<br />

0.2 to 1 µm. When optimized, the spheres <strong>in</strong> such films<br />

behave as extremely efficient scatterers. Indeed, transmission<br />

can be reduced down to 5% at certa<strong>in</strong> wavelengths (see<br />

Figure 2), produc<strong>in</strong>g strong structural colours. The low<br />

transmission range can be shifted by chang<strong>in</strong>g the sphere<br />

diameter, thus modify<strong>in</strong>g the observed colour. The colour of<br />

the film colour also depends on the observation angle (see<br />

Figure 3).<br />

Figure 3: Dependency of the observed colour <strong>in</strong> transmission on the<br />

tilt angle with 725 nm diameter spheres<br />

All these optical effects have been reproduced theoretically. In<br />

the non-tilted case (see Figure 3a), multiple scatter<strong>in</strong>g<br />

between spheres is negligible s<strong>in</strong>ce <strong>in</strong> this sphere size range<br />

light is ma<strong>in</strong>ly scattered <strong>in</strong> the forward direction. The colour<br />

effect is due to <strong>in</strong>terference between the light <strong>in</strong>cident on the<br />

film and the light scattered by the spheres <strong>in</strong> the forward<br />

direction.<br />

When the spheres are no longer ly<strong>in</strong>g <strong>in</strong> a plane perpendicular<br />

to the <strong>in</strong>cident light (see Figure 3b), forward scatter<strong>in</strong>g from<br />

one sphere even at a small angle can irradiate neighbour<strong>in</strong>g<br />

spheres, modify<strong>in</strong>g the transmitted spectra.<br />

This work was partly funded by the COST project P11,<br />

MieOpic. <strong>CSEM</strong> thanks them for their support.<br />

[1] M. Guillaumée, M. Liley, R. Pug<strong>in</strong>, R. P. Stanley, “Scatter<strong>in</strong>g of<br />

light by a sub-monolayer of randomly packed dielectric<br />

microspheres giv<strong>in</strong>g colour effects <strong>in</strong> transmission”, Optics<br />

Express 16, (2008), 1440<br />

49


Towards Plasmon Enhanced Detectors<br />

L. A. Dunbar, M. Guillaumée, R. Eckert, E. Franzi, R. P. Stanley<br />

Surface plasmons play a key role <strong>in</strong> the recent experiments on extra-ord<strong>in</strong>ary optical transmission through nano-structured metals. By tailor<strong>in</strong>g<br />

these nanostructures they can be used to enhance optical transmission, with polarisation and spectral selection. Incorporat<strong>in</strong>g these nanostructures<br />

directly onto photon detectors can improve their performance.<br />

To enhance the signal to noise ratio of detectors one can<br />

either enhance the signal or reduce the noise. Recently<br />

Ebbesen et al [1] measured extraord<strong>in</strong>ary optical transmission<br />

through sub-wavelength holes <strong>in</strong> an otherwise opaque metal.<br />

By exploit<strong>in</strong>g this effect, light can be harvested on<br />

photodetectors and the signal to noise ratio can be <strong>in</strong>creased.<br />

Currently <strong>CSEM</strong> is fabricat<strong>in</strong>g metallic nanostructures on<br />

image sensors to do just this. Additionally these<br />

nanostructured metallic films can act as spectral and<br />

polarization filters or a comb<strong>in</strong>ation of the two.<br />

Initial trials have been made to <strong>in</strong>vestigate the enhanced<br />

transmission through a s<strong>in</strong>gle slit surrounded by a series of<br />

grooves. Incident light is converted <strong>in</strong>to a surface wave<br />

(plasmon) by the periodic array of grooves. The light is<br />

transported along the surface towards the central slit. This<br />

allows light which does not fall on the central slit, to pass<br />

through the slit and <strong>in</strong>crease the signal that can be detected<br />

with the detector under the slit. As the shot noise depends on<br />

the size detector, <strong>in</strong>creas<strong>in</strong>g the signal whilst ma<strong>in</strong>ta<strong>in</strong><strong>in</strong>g the<br />

same detector size <strong>in</strong>creases the signal to noise ratio. Initial<br />

results give a factor of 5 enhancement per surface area over a<br />

spectral bandwidth of 25 nm.<br />

Figure 1: Scann<strong>in</strong>g electron micrograph show<strong>in</strong>g a slit delimited by 5<br />

groove structures<br />

A typical structure is shown <strong>in</strong> Figure 1. Here 140 nm of gold<br />

has been deposited onto a <strong>CSEM</strong> made Vision Sensor. The<br />

metal has a very low surface roughness.<br />

The structures can be optimised for improved signal to noise<br />

ratio, for a specific spectral width or for polarisation sensitivity.<br />

In order to do this the metal thickness, the width and depth of<br />

the groove, the period of the grooves and the <strong>in</strong>itial distance<br />

from the centre of the slit to the first groove need to be<br />

optimised. Slit structures are <strong>in</strong>tr<strong>in</strong>sically polarisation selective<br />

and by vary<strong>in</strong>g these parameters spectral selectivity can also<br />

be modified.<br />

50<br />

Figure 2 shows the transmission through a structured film for<br />

different film thicknesses and shows that the spectral features<br />

can be tuned by vary<strong>in</strong>g the metal thickness.<br />

Figure 2: Transmission spectra calculated us<strong>in</strong>g 2D-F<strong>in</strong>ite Difference<br />

Time Doma<strong>in</strong> (FDTD) for different metal thicknesses. The peak<br />

transmission shifts to longer wavelengths with <strong>in</strong>creased metal<br />

thickness.<br />

To obta<strong>in</strong> the desired optical properties is not straight forward<br />

as there is a complex <strong>in</strong>terplay between the various<br />

parameters. Moreover the metal smoothness is crucial both<br />

for losses and to obta<strong>in</strong> the necessary fabrication tolerances.<br />

The fabrication of tailored nanostructures is currently be<strong>in</strong>g<br />

undertaken by focused ion beam which gives both the<br />

flexibility and the precision required.<br />

This work was partly funded by the PLEAS as part of a EU<br />

Project <strong>in</strong> framework 6.<br />

[1] T. W. Ebbesen, H. J. Lezec, H. Ghaemi, T. Thio, P. A. Wolf,<br />

"Extraord<strong>in</strong>ary optical transmission through sub-wavelength hole<br />

arrays," Nature 391, (1998), 667-669


Unique Mark<strong>in</strong>g for Traceability and Anti-Counterfeit<strong>in</strong>g Applications<br />

N. Blondiaux, D. Hasler, E. Franzi, R. Pug<strong>in</strong><br />

A technique for the fabrication and identification of unique labels is presented. It is based on the creation of random structures on the surface of the<br />

label and the shape analysis of the structures which acts as a unique f<strong>in</strong>gerpr<strong>in</strong>t. The f<strong>in</strong>gerpr<strong>in</strong>ts produced are then recorded <strong>in</strong> a database which<br />

is used when a labeled product has to be authenticated.<br />

Counterfeit<strong>in</strong>g of goods is a topical issue for the global market.<br />

For the Swiss <strong>in</strong>dustries alone, the losses due to<br />

counterfeit<strong>in</strong>g are estimated at 2 billion CHF per year [1] . Many<br />

markets (tobacco, pharmaceutics, food, luxury goods) are<br />

affected by this ris<strong>in</strong>g problem. To fight counterfeit<strong>in</strong>g,<br />

companies must constantly develop more advanced and<br />

tamperproof technologies to make their products difficult to<br />

counterfeit. Another approach is to add identification labels on<br />

the products to ensure their authenticity and traceability<br />

throughout their lifetime.<br />

Figure 1: Workflow for the identification of a labeled item<br />

By comb<strong>in</strong><strong>in</strong>g <strong>CSEM</strong> competences <strong>in</strong> nanotechnologies,<br />

microfabrication and imag<strong>in</strong>g / vision sensor technology the<br />

complete cha<strong>in</strong> for the identification of unique objects [2] could<br />

be developed. The fabrication of labels is based exclusively<br />

on self-assembly processes, which are difficult to reproduce<br />

and are extremely low cost.<br />

The technology is based on the fabrication of random<br />

micro- / nano-structured labels as unique f<strong>in</strong>gerpr<strong>in</strong>ts. After<br />

fabrication, the random structure of the label is characterized<br />

e.g. by means of microscopy and recorded <strong>in</strong> a database.<br />

When a labeled product is be<strong>in</strong>g checked for authenticity, the<br />

random structures of the label are analyzed (shape analysis,<br />

size distribution) and compared with those of the database<br />

(see workflow <strong>in</strong> Figure 1).<br />

The structured labels are fabricated by means of polymer<br />

demix<strong>in</strong>g. In this process, two immiscible polymers are first<br />

dissolved <strong>in</strong> a common solvent and the solution is sp<strong>in</strong> coated<br />

on a substrate. Dur<strong>in</strong>g sp<strong>in</strong> coat<strong>in</strong>g, the system phase<br />

separates, which leads to the formation of a structured<br />

polymer film at the end of the process. As can be seen <strong>in</strong><br />

Figure 2, the f<strong>in</strong>al structures have a well def<strong>in</strong>ed average size<br />

but are random <strong>in</strong> terms of shape and distribution. The<br />

average lateral size of the structures can be tuned from<br />

hundreds of nanometers to tens of micrometers by chang<strong>in</strong>g<br />

parameters such as the concentration of the solution, the sp<strong>in</strong><br />

speed or the molecular weight of the polymers<br />

Figure 2: Optical image of a random structure obta<strong>in</strong>ed by means of<br />

polymer demix<strong>in</strong>g<br />

The identification system enables check<strong>in</strong>g the authenticity of<br />

the object by us<strong>in</strong>g computer assisted microscopy techniques.<br />

The microscope takes several images of the label and selects<br />

the sharpest ones. The image analysis method permits then<br />

the identification of complex shapes <strong>in</strong> the image and their<br />

distribution for comparison with references stored <strong>in</strong> the<br />

database.<br />

In Figure 3 such an identification system is presented,<br />

specifically designed to analyze labeled credit cards. Once the<br />

image of the label is acquired on a computer, the structures<br />

are analyzed us<strong>in</strong>g custom-made software and compared with<br />

those <strong>in</strong> the database. The system can then authenticate if the<br />

credit card has been registered <strong>in</strong> the database.<br />

Figure 3: Schematic of the optical characterization system<br />

specifically designed for the identification of credit cards<br />

The flexibility of the described approach <strong>in</strong> terms of fabrication<br />

and characterization allows the customization of the complete<br />

cha<strong>in</strong> to the type of object to label. Currently <strong>CSEM</strong> target<br />

markets <strong>in</strong>clude ma<strong>in</strong>ly luxury brands.<br />

[1] Institut Fédéral de la Propriété Intellectuelle, Contrefaçon et<br />

piraterie, Etat des lieux en Suisse, (2004)<br />

[2] Patent pend<strong>in</strong>g<br />

51


Sol-Gel based Nanoporous Layers as New Sens<strong>in</strong>g Interfaces<br />

E. Scolan, V. Monnier, R. Steiger, R. Pug<strong>in</strong><br />

Sol-gel processes are very versatile and well-suited for the deposition of layers with controlled homogeneity, thickness, porosity and associated<br />

surface structures. The high surface area generated by the porosity and the pore size and shape are used to improve the sensitivity and the<br />

selectivity of specifically designed sensors, respectively.<br />

Nanotechnology creates functional materials, devices, and<br />

systems by controll<strong>in</strong>g matter at the atomic and molecular<br />

scales, thus result<strong>in</strong>g <strong>in</strong> novel exploitable properties and<br />

phenomena. The control at the nanometer scale is especially<br />

important <strong>in</strong> the sensor world s<strong>in</strong>ce most chemical and<br />

biological sensors, as well as many physical sensors, depend<br />

on <strong>in</strong>teractions occurr<strong>in</strong>g at these scales.<br />

Sol-gel processes [1] can be broadly def<strong>in</strong>ed as the preparation<br />

of designed metal oxide materials at the nanometer scale<br />

(<strong>in</strong>clud<strong>in</strong>g fibers, powders/particles, shaped/molded bulks,<br />

(th<strong>in</strong>) films). The gentle wet chemistry route to ceramics has<br />

many advantages. One of the most technologically important<br />

aspects of sol-gel process<strong>in</strong>g is that, prior to gelation, sols are<br />

ideal for prepar<strong>in</strong>g th<strong>in</strong> films, us<strong>in</strong>g common coat<strong>in</strong>g<br />

processes such as dipp<strong>in</strong>g, sp<strong>in</strong>n<strong>in</strong>g, spray<strong>in</strong>g or spread<strong>in</strong>g<br />

us<strong>in</strong>g a blade, a roll or a bar (see Figure 1). The thickness<br />

(from 10 nm to 100 µm) and adhesion to different k<strong>in</strong>ds of<br />

substrates (glasses, plastics, ceramics, metals, and textiles)<br />

can be controlled over a large range of areas (cm2 to m2 ) with<br />

a high degree of homogeneity.<br />

Figure 1: Bar coater device and plastic sheet bar coated with a<br />

nanoporous sol-gel layer<br />

Figure 2: Specifically designed SiO2 (top) and AlOOH (bottom) based<br />

nanoporous sol-gel layers<br />

52<br />

These processes are a bottom-up approach from molecular<br />

precursors to high purity particulate nano-build<strong>in</strong>g blocks<br />

(NBB): their stack<strong>in</strong>g with<strong>in</strong> the film generates tunable porosity<br />

by modify<strong>in</strong>g NBB size, shape, surface chemistry and<br />

<strong>in</strong>teraction with porogenic species. Highly homogeneous<br />

nanoporous layers of silica or alum<strong>in</strong>um oxide have been<br />

prepared (see Figure 2).<br />

F<strong>in</strong>ally sol-gel processes are an ambient temperature<br />

deposition technology, allow<strong>in</strong>g <strong>in</strong>terfaces with organic and<br />

biological species. Thus film porosity can be functionalized by<br />

grafted or embedded sensitive entities (e.g. dyes, prote<strong>in</strong>s,<br />

polymers or cells), that makes the loaded layers suitable for<br />

high performance chemical sens<strong>in</strong>g applications (see<br />

Figure 3). Nanoporous sol-gel matrices possess chemical<br />

<strong>in</strong>ertness, physical rigidity, negligible swell<strong>in</strong>g <strong>in</strong> contact with<br />

liquids, high thermal stability and optical transparency.<br />

Moreover the large surface area generated by the<br />

nanoporosity greatly improves the sensitivity of the sens<strong>in</strong>g<br />

films to detect specific diffus<strong>in</strong>g gases or diluted<br />

(bio-)molecules. For <strong>in</strong>stance, optical CO2 gas sensors have<br />

been developed based on the absorbance quench<strong>in</strong>g due to<br />

the <strong>in</strong>teraction of CO2 with encapsulated chromophores [2] .<br />

Figure 3: Concept of nanoporous film based sensor<br />

Due to their tunable size and shape, nanoporous sol-gel<br />

coat<strong>in</strong>gs can be <strong>in</strong>tegrated <strong>in</strong>to various k<strong>in</strong>ds of devices.<br />

Nanosensors and nano-enabled sensors have applications <strong>in</strong><br />

many <strong>in</strong>dustries, among them environmental protection,<br />

transportation, communications, build<strong>in</strong>g and facilities,<br />

medic<strong>in</strong>e, safety, textiles and packag<strong>in</strong>g.<br />

<strong>CSEM</strong> thanks the OFES and EC (www.napolyde.org) for their<br />

f<strong>in</strong>ancial support.<br />

[ 1 ] J. Br<strong>in</strong>ker, G. Scherer, “Sol-Gel Science, The Physics and<br />

Chemistry of Sol-Gel Process<strong>in</strong>g”, Academic Press, San Diego,<br />

(1990)<br />

[2] J.F. Fernández-Sánchez, R. Cannas, S. Spichiger, R. Steiger,<br />

U.E. Spichiger-Keller, “Optical CO2-sens<strong>in</strong>g layers for cl<strong>in</strong>ical<br />

application based on pH-sensitive <strong>in</strong>dicators <strong>in</strong>corporated <strong>in</strong>to<br />

nanoscopic metal-oxide supports”, Sensors and Actuators,<br />

B 128, (<strong>2007</strong>) 145–153


High Aspect Ratio Nanopores <strong>in</strong> MEMS Compatible Substrates<br />

A.-M. Popa, R. Pug<strong>in</strong>, M. Liley<br />

One of the objectives of the European project Biopolysurf is the design and fabrication of smart nanovalves for biology-related applications. The<br />

work presented below concerns the production of nanoporous th<strong>in</strong> films that will be subsequently functionalized with temperature responsive<br />

macromolecules for the fabrication of smart freestand<strong>in</strong>g nanoporous membranes.<br />

The fabrication of nanoporous membranes is of considerable<br />

<strong>in</strong>terest for many applications <strong>in</strong>clud<strong>in</strong>g ultrafiltration, osmosis,<br />

prevention of particle emission, high throughput DNA<br />

sequenc<strong>in</strong>g and biosens<strong>in</strong>g.<br />

The fabrication of 2D nanopore arrays <strong>in</strong> ultrath<strong>in</strong><br />

(freestand<strong>in</strong>g) membranes can be accomplished by standard<br />

top-down fabrication techniques <strong>in</strong>clud<strong>in</strong>g Nano Impr<strong>in</strong>t<br />

Lithography, Electron Beam and Focus Ion Beam; however,<br />

these techniques are currently still limited to structur<strong>in</strong>g very<br />

small areas, and therefore, to prototyp<strong>in</strong>g. An alternative<br />

approach is the well-known bottom-up approach, where to<br />

scale up the structures one takes advantage of the tendency<br />

of molecular build<strong>in</strong>g blocks to self-organize. The bottom-up<br />

methods used for the fabrication of ultrath<strong>in</strong> films generally<br />

<strong>in</strong>clude Langmuir-Blodgett deposition, layer-by-layer<br />

assembly, sol-gel or various biomimetic techniques; however,<br />

only few approaches such as colloidal and block-copolymer<br />

lithography have allowed the fabrication of 2D nanopore<br />

arrays <strong>in</strong> ultrath<strong>in</strong> membranes for <strong>in</strong>dustrial applications.<br />

Recently, <strong>CSEM</strong> has developed a silicon based freestand<strong>in</strong>g<br />

nanoporous membrane <strong>in</strong> which the pore diameter can be<br />

tuned between 10 and 20 nm. The membrane is 60 nm thick<br />

and has been fabricated by comb<strong>in</strong><strong>in</strong>g standard<br />

microfabrication processes and block-copolymer lithography<br />

(a nanostructured block-copolymer th<strong>in</strong> film is used as etch<br />

mask for the transfer of the self-assembled structure <strong>in</strong>to the<br />

underly<strong>in</strong>g material by deep reactive ion etch<strong>in</strong>g). With this<br />

technology, the formation of pores with aspect ratios up to 1:5<br />

could be demonstrated [1] . The nanoporous membranes are<br />

fabricated with a support structure which makes their<br />

manipulation and <strong>in</strong>tegration <strong>in</strong> macroscopic devices<br />

straightforward.<br />

Based on these first results and with the ma<strong>in</strong> objective to<br />

improve pore size distribution and the mechanical robustness<br />

of the membrane, a new clean room compatible and wafer<br />

scale applicable etch<strong>in</strong>g process has been developed for<br />

thicker silicon based nanomembranes. Once sp<strong>in</strong>-coated, the<br />

block-copolymer th<strong>in</strong> film is directly used to pattern an<br />

<strong>in</strong>termediate metal hard mask. This additional step allows to<br />

be reached a much higher selectivity of the etch<strong>in</strong>g process<br />

(slower degradation of the mask) result<strong>in</strong>g <strong>in</strong> the formation of<br />

pores with aspect ratios higher than 1:10 <strong>in</strong>to a silicon<br />

nanomembrane. The nanoporous th<strong>in</strong> membranes fabricated<br />

<strong>in</strong> this way are shown <strong>in</strong> Figure 1.<br />

Accord<strong>in</strong>g to Scann<strong>in</strong>g Electron Microscopy (SEM) analysis,<br />

the pore channels are parallel throughout the membrane<br />

(lateral undercutt<strong>in</strong>g has been avoided us<strong>in</strong>g highly<br />

anisotropic DRIE process) and are densely packed <strong>in</strong> a<br />

hexagonal configuration respect<strong>in</strong>g the <strong>in</strong>itial micelles selfassembled<br />

structures. The pore size distribution is very<br />

narrow and the mean coverage of membrane surface by pore<br />

open<strong>in</strong>gs is ~20% of the total area.<br />

Figure 1: Scann<strong>in</strong>g Electron Microscopy (SEM) images (top views<br />

and transverse sections) of silicon nanoporous substrates with 80<br />

and 40 nm diameter pores.<br />

Another big advantage of this approach is the tunability of the<br />

pore size and fill<strong>in</strong>g factor (ratio of pores to solid area), which<br />

are both directly l<strong>in</strong>ked to the polymeric micellar mask <strong>in</strong> terms<br />

of diameter and <strong>in</strong>ter-particle distance, respectively [2] . The<br />

next step will be the release of the nanoporous membranes<br />

us<strong>in</strong>g standard microfabrication techniques. Subsequently, the<br />

suspended membranes will be modified with temperature<br />

responsive polymers, <strong>in</strong> order to achieve the foreseen<br />

reversible valve function with<strong>in</strong> the pores. Applications such<br />

as ultrafiltration or biosens<strong>in</strong>g are currently under<br />

<strong>in</strong>vestigation.<br />

This work was partly funded by the OFES and the European<br />

Community via the European Research Tra<strong>in</strong><strong>in</strong>g Network<br />

project BIOPOLYSURF. <strong>CSEM</strong> thanks them for their support.<br />

[1] A. Hoogerwerf, et al., “Re<strong>in</strong>forced Nanoporous Membranes”,<br />

<strong>CSEM</strong> Scientific and Technical Report 2006, page 41<br />

[2] S. Krishnamoorthy, R. Pug<strong>in</strong>, H. He<strong>in</strong>zelman, J. Brugger,<br />

C. H<strong>in</strong>derl<strong>in</strong>g, Adv.Funct.Mat., 16, (11), (2006), 1469-1475<br />

53


Nanoporous Membranes for Medical Diagnostics and Drug Discovery<br />

C. Santschi, R. Pug<strong>in</strong>, V. Spassov, S. Berchtold, A. Hoogerwerf<br />

Understand<strong>in</strong>g cellular signal<strong>in</strong>g controlled by cell surface receptors, ion channels and pumps is a key issue of modern biological <strong>research</strong> and drug<br />

development. A platform for high throughput measurements, namely, impedance spectroscopy and fluorescence microscopy, has been developed<br />

and is described below. A nanoporous SiO2/SixNy membrane, where native vesicles can be self-assembled, forms the core of the device. These<br />

membranes comb<strong>in</strong>ed with microfluidic channels are the ma<strong>in</strong> components of the modularly built-up unit.<br />

A rapidly grow<strong>in</strong>g number of molecular targets discovered by<br />

functional genomics and potential therapeutic compounds<br />

produced by chemical synthesis <strong>in</strong>creases the need to<br />

downscale probe formats <strong>in</strong> order to accelerate functional<br />

screen<strong>in</strong>g, and to reduce reagent consumption [1], and costs.<br />

Recent progress <strong>in</strong> micro- and nanotechnology allows the<br />

fabrication of suspended nanoporous SiO2/SixNy membranes<br />

appropriate for screen<strong>in</strong>g transmembrane receptors and<br />

signal<strong>in</strong>g pathways of mammalian cells. In the frame of this<br />

project f<strong>in</strong>anced by CCMX, a versatile platform allow<strong>in</strong>g highthroughput<br />

electrochemical spectroscopy and fluorescence<br />

measurements has been developed. The realization of this<br />

platform is a challeng<strong>in</strong>g task merg<strong>in</strong>g micro, and<br />

nanotechnology. The modularly built platform consists of three<br />

parts: a micro-fluidic component 1), PCB based Si chip-holder<br />

conta<strong>in</strong><strong>in</strong>g SiO2/SixNy membrane 2), and cover 3) (Figure 1).<br />

3)<br />

2)<br />

1)<br />

Figure 1: Schematic draw<strong>in</strong>g of the modular platform with 1) cover 2)<br />

chip-holder 3) micro-fluidic component.<br />

A system of micro-fluidic channels is sealed between two<br />

glass cover slides. The chip-holder is fabricated us<strong>in</strong>g a PCB<br />

board commonly used <strong>in</strong> <strong>in</strong>dustrial electronics. An image of<br />

the chip-holder PCB is displayed <strong>in</strong> Figure 2. The PCB<br />

conta<strong>in</strong>s rectangular apertures, where the <strong>in</strong>dividual silicon<br />

chips are placed. Moreover, electric contacts are directly<br />

<strong>in</strong>tegrated on both sides of the double layered board. The<br />

cover consists of a glass cover slide and a PDMS seal<strong>in</strong>g<br />

layer.<br />

Figure 2: a) Image of the PCB chip-holder with mounted Si chips.<br />

The size of the board is 4 x 10 cm 2 . b) Closed view show<strong>in</strong>g a blank<br />

cutout where the <strong>in</strong>dividual chips can be mounted.<br />

The maximum thickness of the cover is governed by the focal<br />

distance of a fluorescence microscope, which can be as short<br />

54<br />

Glass cover slide<br />

PDMS-Seal<strong>in</strong>g<br />

Chip holder<br />

PDMS-Seal<strong>in</strong>g<br />

Glass cover slide<br />

Fluidic channel<br />

Glass cover slide<br />

Microscope<br />

Fluidic <strong>in</strong>- and<br />

outlets<br />

Si chip (Figure 3)<br />

PDMS<br />

as 350 μm. The micro-fluidic part of the device is sealed<br />

between two glass cover slides.<br />

SiO2 SiN<br />

Pt<br />

Figure 3: Schematic cross-section a Si chip conta<strong>in</strong><strong>in</strong>g a membrane<br />

The SiO2/SixNy membranes are fabricated from a 4 <strong>in</strong>ch silicon<br />

wafer us<strong>in</strong>g standard microfabrication techniques. The wafer<br />

is divided <strong>in</strong>to chips of 5 x 10 mm 2 each conta<strong>in</strong><strong>in</strong>g a<br />

50 x 50 μm 2 freestand<strong>in</strong>g membrane. A schematic crosssection<br />

of the chip is displayed <strong>in</strong> Figure 3. For size selective<br />

position<strong>in</strong>g of the vesicles of <strong>in</strong>terest, each membrane<br />

conta<strong>in</strong>s n<strong>in</strong>e cavities etched <strong>in</strong> the SiO2 layer. Furthermore,<br />

each of the cavities conta<strong>in</strong>s a s<strong>in</strong>gle nanopore of 50 nm <strong>in</strong><br />

diameter <strong>in</strong> the center of the underly<strong>in</strong>g SiN membrane as<br />

illustrated <strong>in</strong> Figure 3. The diameter of the cavities lies <strong>in</strong> the<br />

range of 1 – 2 μm. For prototyp<strong>in</strong>g, cavities and nanopores<br />

have been fabricated us<strong>in</strong>g a Focused Ion Beam (FIB)<br />

technique. FIB is a versatile tool which allows surface<br />

structur<strong>in</strong>g by local material removal. The <strong>in</strong>teraction between<br />

a focused Ga + -beam and the substrate results <strong>in</strong> a physical<br />

removal of material due to a momentum transfer from the<br />

<strong>in</strong>cident ions to the target atoms. Us<strong>in</strong>g FIB, structures of<br />

almost any user-def<strong>in</strong>ed geometry larger than a few tens of<br />

nanometers can be realized; thus, it is an appropriate tool for<br />

the fabrication of nanopores [2] .<br />

500 nm<br />

Figure 4: Image of the Si-wafer and SEM micrograph of an <strong>in</strong>dividual<br />

cavity fabricated us<strong>in</strong>g FIB technique<br />

Figure 4 shows an image of a wafer conta<strong>in</strong><strong>in</strong>g several th<strong>in</strong><br />

membranes and a SEM micrograph of an <strong>in</strong>dividual cavity with<br />

nanopore fabricated with FIB. The next step <strong>in</strong> this project will<br />

be to perform impedance spectroscopy and fluorescence<br />

microscopy measurements <strong>in</strong> order to validate the platform<br />

performances for drug discovery applications. This project has<br />

been f<strong>in</strong>anced by the Competence Center for Materials<br />

Science and Technology (CCMX) and the <strong>CSEM</strong>.<br />

[1] S. A. Sundberg, Current Op<strong>in</strong>ion <strong>in</strong> Biotechnology, 11, (2000)<br />

47-53.<br />

[2] C. Danelon, C. Santschi, J. Brugger, H. Vogel, Langmuir 22,<br />

(2006) 10711-10715.


Stimuli-Responsive Surfaces and Smart Coat<strong>in</strong>gs<br />

F. Montagne, R. Pug<strong>in</strong><br />

Due to their unique “switchable” properties, stimuli-responsive polymers have been attract<strong>in</strong>g considerable attention <strong>in</strong> biotechnologies and<br />

successful applications have already been demonstrated <strong>in</strong> sens<strong>in</strong>g, <strong>in</strong>telligent textiles and bioseparation. As an illustration of <strong>CSEM</strong> <strong>activities</strong> <strong>in</strong><br />

the field of “smart” surfaces, presented here are MEMS compatible surfaces modified with poly(N-isopropylacrylamide) (PNIPAM), a thermoresponsive<br />

polymer allow<strong>in</strong>g the control of surface wettability.<br />

Stimuli-responsive polymers, also referred to as “smart”<br />

polymers, are a very <strong>in</strong>terest<strong>in</strong>g class of polymers s<strong>in</strong>ce they<br />

exhibit marked and rapid conformational changes <strong>in</strong> response<br />

to external stimuli such as temperature, pH, electric field or<br />

ionic strength. When grafted to surfaces, they confer to<br />

materials unique surface properties as they have the ability to<br />

control hydrophilic/hydrophobic balance, roughness, adhesion<br />

or permeability.<br />

In the frame of HYDROMEL European Project [1] , efforts were<br />

particularly focused on thermally responsive polymers and<br />

developed methods for modification of silicon and gold<br />

surfaces with th<strong>in</strong> poly (N-isopropylacrylamide) (PNIPAM)<br />

films. In water, free PNIPAM cha<strong>in</strong>s exhibit a very sharp<br />

transition temperature, called LCST (Lower Critical Solubility<br />

Temperature), at about 32°C. At temperatures lower than<br />

32°C, PNIPAM cha<strong>in</strong>s hydrate to form expanded structures,<br />

whereas they dehydrate and collapse at temperatures above<br />

the LCST. It is a challenge to preserve these remarkable<br />

hydration-dehydration changes when polymer cha<strong>in</strong>s are<br />

covalently attached onto a surface <strong>in</strong> just a few nanometer<br />

thick films. A first graft<strong>in</strong>g method that has been developed<br />

consists <strong>in</strong> the covalent immobilization of end-functionalized<br />

PNIPAM under melt us<strong>in</strong>g reactive silanes as <strong>in</strong>termediate<br />

coupl<strong>in</strong>g agents (ICA) (Figure 1). It is worth mention<strong>in</strong>g here<br />

that the process can easily be adapted for the graft<strong>in</strong>g of any<br />

k<strong>in</strong>d of functional polymers onto various types of reactive<br />

surfaces (plane or colloidal).<br />

Figure 1: Graft<strong>in</strong>g process for covalent immobilization of tethered<br />

PNIPAM on silicon surface and evidence of surface responsiveness<br />

for a 6 nm thick PNIPAM film.<br />

In the present case, responsive properties could be evidenced<br />

by surface energy measurements show<strong>in</strong>g an <strong>in</strong>crease of the<br />

water contact angle when the temperature is raised above the<br />

LCST (Figure 1). Force measurements performed us<strong>in</strong>g<br />

Atomic Force Microscopy <strong>in</strong> a liquid environment also attested<br />

to a change <strong>in</strong> the profile of repulsive forces below and above<br />

the theoretical value of LCST.<br />

Thermally responsive micro-patterned surfaces have been<br />

created us<strong>in</strong>g micro-contact pr<strong>in</strong>t<strong>in</strong>g (µCP). This technique,<br />

also referred to as soft lithography, uses a PDMS stamp to<br />

pattern molecules on surfaces. Briefly, the stamp is first '<strong>in</strong>ked'<br />

with a solution of molecules, dried and then pressed onto the<br />

surface to be patterned. The soft PDMS stamp makes<br />

conformal contact with the surface and molecules are<br />

transferred directly from the stamp to the surface with<strong>in</strong> a few<br />

seconds. As shown <strong>in</strong> Figure 2, µCP has been successfully<br />

adapted for direct graft<strong>in</strong>g of thiol-term<strong>in</strong>ated PNIPAM cha<strong>in</strong>s<br />

onto gold.<br />

Figure 2: SEM picture of thermally responsive PNIPAM microdoma<strong>in</strong>s<br />

pr<strong>in</strong>ted onto gold surface. Size of the doma<strong>in</strong>s = 128<br />

microns.<br />

Thermally responsive surfaces are currently evaluated at<br />

<strong>CSEM</strong> for reversible capture and release of cells. First results<br />

show that cells adhere and proliferate on PNIPAM-modified<br />

surfaces at 37°C (above LCST) and can then be released by<br />

simply decreas<strong>in</strong>g the temperature to 27°C (below LCST).<br />

Based on these results, the year 2008 will see the creation of<br />

patterned thermo-sensitive surfaces with tuned dimensions for<br />

<strong>in</strong>dividual cell immobilization, as well as <strong>in</strong>tegration of these<br />

components <strong>in</strong> automated system for cell transfection.<br />

This work was partly funded by the OFES and the European<br />

Community via the European project HYDROMEL. <strong>CSEM</strong><br />

thanks them for their support.<br />

[1] HYDROMEL: Hybrid Ultra Precision Manufactur<strong>in</strong>g Process<br />

Based on Positional and Self-Assembly for Complex Micro-<br />

Products – Sixth framework programme priority (NMP)<br />

55


Parallel Nanoscale Dispens<strong>in</strong>g of Liquids for Biological Analysis<br />

A. Meister, J. Przybylska, P. Niedermann, C. Santschi, M. Liley, H. He<strong>in</strong>zelmann<br />

Nanoscale dispens<strong>in</strong>g (NADIS) is a technique developed at <strong>CSEM</strong> to dispense ultrasmall volumes of liquid us<strong>in</strong>g specifically fabricated scann<strong>in</strong>g<br />

force microscopy probes. The NADIS probes consist of hollow cantilevers connected to a reservoir located <strong>in</strong> the chip body. Arrays of NADIS<br />

probes have been developed and produced by micromach<strong>in</strong><strong>in</strong>g <strong>in</strong> order to dispense biological liquids <strong>in</strong> parallel.<br />

The precise handl<strong>in</strong>g of liquids with volumes <strong>in</strong> the femto- and<br />

attoliter range is a challeng<strong>in</strong>g task, and one that is becom<strong>in</strong>g<br />

<strong>in</strong>creas<strong>in</strong>gly important for specific applications. For example,<br />

local surface functionalization with biomolecules is used to<br />

create high-density microarrays for diagnostics and biology. In<br />

the future, local application of candidate drugs or<br />

nanoparticles on biological cells may be used to study their<br />

<strong>in</strong>fluence on the cell <strong>in</strong> pharmacology or cytotoxicology.<br />

In <strong>CSEM</strong>’s nanoscale dispens<strong>in</strong>g, or “NADIS”, the ability to<br />

dispense ultrasmall volumes of liquid at precise positions on a<br />

sample is made possible by comb<strong>in</strong><strong>in</strong>g scann<strong>in</strong>g force<br />

microscopy (SFM) with a custom designed microtool. The<br />

NADIS microtool is similar to a standard SPM probe, except<br />

that the cantilever and the tip are hollow. The microfabrication<br />

process for the NADIS cantilevers is shown <strong>in</strong> Figure 1.<br />

Figure 1: Fabrication process of the NADIS cantilever probes. a) A<br />

wafer is structured with reservoirs and V-grooves for chip release.<br />

b) A second wafer is processed for microfluidic channels and<br />

pyramidal tips with a silicon nitride layer. c) The pre-structured wafers<br />

are brought together and aligned. d) The wafers are fusion bonded<br />

and a silicon oxide layer is grown by thermal oxidation. e) The hollow<br />

cantilever is released by wet etch<strong>in</strong>g. f) The chip is released.<br />

Figure 2: Example of glycerol droplets (left, optical micrograph)<br />

deposited with a tipless NADIS probe (right, SEM micrograph)<br />

The hollow core of the cantilever is <strong>in</strong>strumental for the<br />

dispens<strong>in</strong>g process as it connects an aperture <strong>in</strong> the cantilever<br />

tip to a liquid reservoir <strong>in</strong> the body of the chip. Once the<br />

reservoir is filled with liquid, the hollow cantilever and tip will<br />

be filled by capillarity. Transfer of liquid from the tip aperture to<br />

the surface occurs when the tip is brought <strong>in</strong>to contact with the<br />

sample. First proof of pr<strong>in</strong>ciple experiments demonstrat<strong>in</strong>g<br />

NADIS dispens<strong>in</strong>g with glycerol are shown <strong>in</strong> Figure 2.<br />

56<br />

In order to <strong>in</strong>crease NADIS throughput, systems with multiple<br />

cantilevers were developed. Various designs were def<strong>in</strong>ed,<br />

with different cantilever geometries and dimensions. Some of<br />

them are shown <strong>in</strong> Figure 3 and 4. Different microfluidic<br />

connections between the cantilevers and the reservoirs were<br />

also designed. All cantilevers <strong>in</strong> an array can be connected to<br />

one reservoir, so that all dispense the same liquid. Or, if<br />

different liquids are to be dispensed, each cantilever can be<br />

connected to its own reservoir. Cantilevers with a double<br />

beam structure are connected to an <strong>in</strong>let and an outlet<br />

reservoir, allow<strong>in</strong>g r<strong>in</strong>s<strong>in</strong>g of the cantilever by flush<strong>in</strong>g a liquid<br />

through it. Tests of these new systems are now underway.<br />

Figure 3: Optical micrograph of two chips with NADIS probe arrays of<br />

different designs (scale bar: 500 µm). The chips are still attached to<br />

the wafer.<br />

Figure 4: Scann<strong>in</strong>g electron microscope (SEM) micrographs of<br />

NADIS probes. a) Array of NADIS probes. b) Detail of an array.<br />

c) Close-up head-on view of a pyramidal shaped tip. d) Detail of a tip<br />

with the aperture at its apex.<br />

The partial support of the Swiss Federal Office for Education<br />

and Science (OFES) <strong>in</strong> the framework of the EC-funded<br />

Project NaPa (Contract no. NMP4-CT-2003-500120) is<br />

gratefully acknowledged.


Electrospun Scaffolds for Tissue Eng<strong>in</strong>eer<strong>in</strong>g<br />

F. Spano, M. Liley, C. H<strong>in</strong>derl<strong>in</strong>g, H. Sigrist •<br />

A novel nanofibrous material is be<strong>in</strong>g developed to be used <strong>in</strong> three dimensional scaffolds for the directed growth of cells, e. g. <strong>in</strong> nerve<br />

regeneration and guid<strong>in</strong>g. The material will be produced <strong>in</strong> the form of an aligned mat of nanofibers by electrosp<strong>in</strong>n<strong>in</strong>g. The potential of electrospun<br />

polymer nanofiber mats <strong>in</strong> tissue eng<strong>in</strong>eer<strong>in</strong>g has been established.<br />

There is strong medical <strong>in</strong>terest <strong>in</strong> the development of scaffold<br />

materials for tissue regeneration and 2D and 3D cell culture.<br />

In the USA, the shortage of donor organs results <strong>in</strong> the deaths<br />

of over 6000 people each year. In 1990, there were more than<br />

9000 patients wait<strong>in</strong>g for organ transplants than there were<br />

donors, while today the difference is over 55000 [1] . Tissue<br />

eng<strong>in</strong>eer<strong>in</strong>g could potentially make a major contribution to<br />

reduc<strong>in</strong>g this shortage of donors. Tissue eng<strong>in</strong>eer<strong>in</strong>g <strong>in</strong>cludes<br />

all the techniques that comb<strong>in</strong>e cell biology, eng<strong>in</strong>eer<strong>in</strong>g and<br />

biochemistry to replace, repair or regrow <strong>in</strong>jured or diseased<br />

organs: a way of produc<strong>in</strong>g natural or synthetic organs and<br />

tissues by mimick<strong>in</strong>g nature.<br />

Electrosp<strong>in</strong>n<strong>in</strong>g is a flexible and effective technique for the<br />

fabrication of polymer nanofibers. In this process, a solution of<br />

polymer(s) is fed at a cont<strong>in</strong>uous rate through a capillary. The<br />

capillary is charged to a high voltage (20 kV) with respect to a<br />

grounded counter electrode (Figure 1). This results <strong>in</strong> very<br />

high electrical fields at the capillary tip lead<strong>in</strong>g to the<br />

deformation of the polymer solution <strong>in</strong>to a cone (the “Taylor<br />

cone”) <strong>in</strong> response to the electrostatic forces. If the electric<br />

field exceeds a certa<strong>in</strong> threshold, the electrostatic forces<br />

overcome the surface tension: a th<strong>in</strong> jet of liquid is ejected<br />

from the tip of the Taylor cone and travels towards the counter<br />

electrode.<br />

Figure 1: Schematic of the electrosp<strong>in</strong>n<strong>in</strong>g process<br />

In tissue eng<strong>in</strong>eer<strong>in</strong>g, electrospun nanofibrous materials have<br />

been used as three dimensional scaffolds for the directed<br />

growth of cells, e.g. <strong>in</strong> nerve regeneration and nerve guid<strong>in</strong>g.<br />

The key property that differentiates electrospun materials from<br />

alternative tissue eng<strong>in</strong>eer<strong>in</strong>g materials is that they mimic the<br />

structure of the extracellular matrix (ECM) (Figure 2). The<br />

native ECM provides more than just a mechanical support for<br />

cells, it also serves as a substrate to display specific ligands<br />

and factors that control cell adhesion, migration and regulate<br />

cell proliferation and function [2] . The addition of ligands to<br />

electrospun materials potentially offers a simple way to control<br />

structural alignment and promote target cell b<strong>in</strong>d<strong>in</strong>g.<br />

Different polymers can be used for electrosp<strong>in</strong>n<strong>in</strong>g. This study<br />

focuses <strong>in</strong> particular on Dextran, a polymer known for its<br />

biodegradable and biocompatible properties.<br />

a) b)<br />

20 µm<br />

Figure 2: Phase Contrast Light microscopy (a) and Laser Scann<strong>in</strong>g<br />

Confocal Microscopy (b) images of Neural Stem Cells attached on<br />

aligned PLLA nanofibers [3] .<br />

Simple techniques [4] have been used to control and guide the<br />

nanofibers dur<strong>in</strong>g electrosp<strong>in</strong>n<strong>in</strong>g and create an anisotropic<br />

geometry, e.g. an uniaxially aligned array. Electrosp<strong>in</strong>n<strong>in</strong>g<br />

onto shaped electrodes (parallel strips) has been particularly<br />

successful [5] . The diameter of the dextran fibers obta<strong>in</strong>ed is<br />

between 100 nm and approximately one micron. The<br />

morphology of the fibers can be tuned systematically by<br />

vary<strong>in</strong>g any one of a number of parameters such as<br />

concentration, voltage and distance to the counter electrode.<br />

Defect-free electrospun Dextran nanofibers (Figure 3a) have<br />

been generated <strong>in</strong> the form of aligned arrays (Figure 3b) and<br />

can thus mimic the structure of the native extracellular matrix<br />

(Figure 3) while exert<strong>in</strong>g an orient<strong>in</strong>g <strong>in</strong>fluence.<br />

a) b)<br />

Figure 3: a) SEM image of electrospun nanofibers. b) Optical image<br />

of aligned electrospun nanofibers.<br />

The follow<strong>in</strong>g months work will focus on the <strong>in</strong>troduction of<br />

biological guidance cues for the controlled growth of cells on<br />

or <strong>in</strong> aligned electrospun nanofibers.<br />

This work is done with<strong>in</strong> the framework of the CCMX Project<br />

called FUNFIBER with the collaboration of Arrayon<br />

Biotechnology.<br />

•<br />

Arrayon Biotechnology, Neuchatel, Switzerland<br />

[1] American Society of Transplant Surgeons - www.asts.org<br />

[2] F. Yang, et al., Biomaterials, 26, (2005), 2603–2610<br />

[3] F. Yang, et al., Biomaterials, 26 (2005) 2603–2610<br />

[4] X. Mo, et al., Macromol. Symp. (2004), 217, 413-416<br />

[5] Y. Xia, Adv. Mater., 16, No. 4 (2004), 361-366<br />

50 µm<br />

50 µm<br />

57


Detection Methods for Nanotoxicology<br />

S. Angeloni, V. Matera • , E. Verrecchia • , M. Liley<br />

An understand<strong>in</strong>g of the risks due to the short and long term toxicity of eng<strong>in</strong>eered nanoparticles requires the collection of a new body of data on<br />

nanoparticle toxicity. In vitro methods can contribute to the understand<strong>in</strong>g of the mechanisms by which NPs enter the human body, but require a<br />

sensitive nanoparticle detection technique. Inductively coupled plasma mass spectroscopy is a promis<strong>in</strong>g candidate technique.<br />

An assessment of the hazards due to eng<strong>in</strong>eered<br />

nanoparticles (NPs) is highly complex, requir<strong>in</strong>g extensive<br />

data collection on, among other th<strong>in</strong>gs, the toxicology of NPs.<br />

One approach that can contribute to this assessment is based<br />

on the use of absorption models deal<strong>in</strong>g with oral, <strong>in</strong>halation<br />

and topical absorption of NPs (via the <strong>in</strong>test<strong>in</strong>es, the lungs,<br />

and the sk<strong>in</strong>, respectively) [1] .<br />

Figure 1: An <strong>in</strong> vitro model of biological barriers for nanotoxicological<br />

tests: a) transport of NPs to the biological barrier; b) microfabricated<br />

well for cell culture; c) cell culture chamber divided <strong>in</strong> two by a th<strong>in</strong><br />

porous membrane (d) layer of epithelial cells act<strong>in</strong>g as model<br />

biological barrier; e) detection of NPs by ICP-MS (see Figure 2).<br />

In vitro assays based on model biological barriers can<br />

contribute to the understand<strong>in</strong>g of the mechanisms by which<br />

NPs ga<strong>in</strong> access to the body (Figure 1). Studies on the<br />

transport (translocation) of NPs across these barriers require<br />

a detection method for NPs with excellent accuracy and<br />

sensitivity. Optical methods such as fluorescence detection or<br />

light scatter<strong>in</strong>g can be extremely sensitive. However,<br />

fluorescence label<strong>in</strong>g has been found to alter the transport<br />

properties of the NPs, while scatter<strong>in</strong>g methods have been<br />

found to lack specificity.<br />

Figure 2: Diagram of an <strong>in</strong>ductively coupled plasma mass<br />

spectrometer (ICP-MS, Perk<strong>in</strong> Elmer): the liquid sample is <strong>in</strong>troduced<br />

(a) <strong>in</strong>to a radiofrequency plasma (b). Ions generated are extracted<br />

from the plasma - (c) and (d) - and separated accord<strong>in</strong>g to their<br />

mass-to-charge ratio by a mass spectrometer (e); detector (f).<br />

As an alternative to optical detection, <strong>in</strong>ductively coupled<br />

plasma mass spectroscopy (ICP-MS) has been tested for the<br />

detection and quantification of nanoparticles (Figure 2). ICP-<br />

MS is a classical technique based on the chemical<br />

identification of the NPs and profit<strong>in</strong>g from recent<br />

improvements to achieve a better sensitivity (multi-element<br />

ultra trace analysis) [2] . Different water-soluble NPs were<br />

analyzed by this technique, namely, a commercially available<br />

titanium oxide NP suspension (TiO2), cadmium telluride<br />

quantum dots (CdTe), gold colloids (Au, mean size 20 nm),<br />

58<br />

and gold NPs (Au, mean size less than 2 nm [3] ). The NPs<br />

were analysed as pure suspensions, as blends of different<br />

NPs and also <strong>in</strong> cell culture medium (Figure 3). No<br />

<strong>in</strong>terference was detected between the different NPs or from<br />

the culture medium. A l<strong>in</strong>ear response was observed for all<br />

selected NPs allow<strong>in</strong>g not only detection but also facile<br />

quantification. In addition, the sensitivities obta<strong>in</strong>ed achieved<br />

the desired detection limits.<br />

Fi<br />

gure 3: ICP-MS response (vertical axis) aga<strong>in</strong>st known concentration<br />

for gold (top graph) and CdTe NPs (lower graph). A l<strong>in</strong>ear response<br />

is obta<strong>in</strong>ed despite size differences or the presence of multiple NPs<br />

or cellular culture medium.<br />

In conclusion, the detection and quantification of NPs has<br />

been shown to be possible for <strong>in</strong>organic metal NPs down to<br />

concentrations of 0.1 ppb (microgram/liter). Next steps will<br />

<strong>in</strong>volve greatly reduc<strong>in</strong>g the sample volume (currently 1 ml) <strong>in</strong><br />

order to make ICP-MS based detection compatible with a<br />

m<strong>in</strong>iaturized screen<strong>in</strong>g test.<br />

This work was partially f<strong>in</strong>anced by the European Commission<br />

<strong>in</strong> the framework of NANOSAFE2 (NMP2-CT-2005-615843).<br />

<strong>CSEM</strong> thanks them for their support.<br />

•<br />

Institut de Géologie et Hydrogéologie, University of Neuchatel,<br />

Switzerland<br />

[1] Cell Culture Models of Biological Barriers. Lehr, C.-M. ed.; Taylor<br />

and Francis: London, (2002), 430<br />

[2] D. Beauchem<strong>in</strong>, Anal.Chem., 78, 12, (2006) 4111-4136<br />

[3] C. Gautier, et al., J.Am.Chem.Soc.,128, 34, (2006) 11079-11087


Us<strong>in</strong>g Microtopography to Study Cell Elasticity<br />

M. Giazzon, N. Matthey, G. Weder, M. Tormen, T. Overstolz, M. Liley<br />

Tissue eng<strong>in</strong>eer<strong>in</strong>g and regenerative medic<strong>in</strong>e are of <strong>in</strong>creas<strong>in</strong>g importance as global life expectancy <strong>in</strong>creases. The development of surfaces to<br />

control cell adhesion and proliferation can make an important contribution to these fields. In this context, microtopographies are used <strong>in</strong> studies of<br />

the elasticity of human bone cells and as a tool to <strong>in</strong>fluence cell growth and survival.<br />

Micro and nano-topography can be used to promote or <strong>in</strong>hibit<br />

the proliferation of biological cells. One major field of<br />

application of this effect is that of tissue eng<strong>in</strong>eer<strong>in</strong>g and<br />

regenerative medic<strong>in</strong>e. The control of cell proliferation is of<br />

<strong>in</strong>creas<strong>in</strong>g importance <strong>in</strong> medical implants with the potential to<br />

accelerate their <strong>in</strong>tegration <strong>in</strong> the body, to reduce the risk of<br />

<strong>in</strong>fection or to avoid undesired cell growth <strong>in</strong> specific areas.<br />

For this reason a number of <strong>research</strong> groups are develop<strong>in</strong>g<br />

structured surfaces to improve and control the adhesion and<br />

proliferation of liv<strong>in</strong>g cells [1] .<br />

<strong>CSEM</strong> has been develop<strong>in</strong>g microtopographic structures <strong>in</strong><br />

order to study one specific aspect of cell behaviour that<br />

contributes to cell proliferation or death: cell elasticity. This is<br />

the capability of a cell to bend <strong>in</strong> response to a physical<br />

stimulus. Indeed cells may bend follow<strong>in</strong>g a stimulus or when<br />

they encounter a mechanical hurdle, but there is a limit above<br />

which they cannot curve [2] .Vary<strong>in</strong>g the microtopography<br />

allows that limit to be found.<br />

Microfabricated quartz surfaces with concentric grooves and<br />

ridges constitute a simple system for <strong>in</strong>vestigat<strong>in</strong>g cell<br />

elasticity. These substrates, obta<strong>in</strong>ed by photolithography,<br />

have ridges and grooves with a width of 2 or 4 µm and a<br />

range of depths from 30 nm to 500 nm. The radius of<br />

curvature of the ridges varies across the quartz substrate<br />

test<strong>in</strong>g cell elasticity under a range of conditions <strong>in</strong> one<br />

sample.<br />

When bone cells are grown on these surfaces they are found<br />

to assume different morphologies. Where the radius of<br />

curvature is high the cells adopt an elongated morphology<br />

(Figure 1b), follow<strong>in</strong>g the ridge and groove structure. In<br />

contrast, where the radius of curvature is small the cells<br />

spread over the grooves and ridges (Figure 1a).<br />

Figure 1: Bone cells on concentric grooves with a high curvature (a)<br />

and with a low curvature (b). Cells spread and orient normally on the<br />

flat surface <strong>in</strong> the top left of b. In the bottom right the cells are<br />

elongated and align to the grooves and ridges.<br />

The cytoskeletal prote<strong>in</strong>, act<strong>in</strong>, forms fibres that play a crucial<br />

role <strong>in</strong> cell spread<strong>in</strong>g, movement and adhesion [3] .<br />

Immunofluorescence sta<strong>in</strong><strong>in</strong>g of act<strong>in</strong> fibres allows the study<br />

of the form and structure of adherent cells on quartz surfaces<br />

(Figure 2). The response of the cells seems to be l<strong>in</strong>ked to the<br />

rigidity of the act<strong>in</strong> fibres. Shallow grooves with a low<br />

curvature result <strong>in</strong> a partial alignment of the cells, which cross<br />

a few grooves only: the act<strong>in</strong> fibres are well aligned to the<br />

grooves: close to the centre of the structure the cell is unable<br />

to align to grooves with a high curvature: the act<strong>in</strong> fibers and<br />

the cell span the ridges with no alignment. However, when the<br />

depth of the grooves is 500 nm or more, a large number of<br />

cells undergo apoptosis, or programmed cell death.<br />

Figure 2: Fluorescence images of act<strong>in</strong> fibers (green) of bone cells<br />

grown on concentric l<strong>in</strong>es (a) close to and (b) far from the centre of<br />

the grooves. (c) cell nuclei aligned to the circular grooves and<br />

(d) triple fluorescence sta<strong>in</strong><strong>in</strong>g of cells far from the centre with act<strong>in</strong> <strong>in</strong><br />

green, the nuclei <strong>in</strong> blue and focal po<strong>in</strong>ts <strong>in</strong> red.<br />

In conclusion, groove microtopographies <strong>in</strong>fluence cell<br />

behavior <strong>in</strong> vitro. Future work will focus on deep<br />

microtopographies – deeper than 500 nm – and their <strong>in</strong>fluence<br />

on cell apoptosis. This may form the basis of a new strategy<br />

for the control of cell proliferation and death.<br />

This work was partly funded by the European Commission <strong>in</strong><br />

the context of the Marie Curie <strong>research</strong> tra<strong>in</strong><strong>in</strong>g network<br />

BioPolySurf (www.biopolysurf.net). <strong>CSEM</strong> thanks them for<br />

their support.<br />

[1] F. Rehfeldt, A. Engler, A. Eckhardt, F. Ahmed, D. Discher,<br />

”Cell responses to the mechanochemical microenvironment-<br />

Implications for regenerative medic<strong>in</strong>e and drug delivery”,<br />

Adv Drug Deliv Rev., 59, (<strong>2007</strong>)1329<br />

[2] P. Kunzler, C. Huwiler, T. Drobek, J. Vörös, N. Spencer,<br />

“Systematic study of osteoblast response to nanotopography by<br />

means of nanoparticle-density gradients”, Biomaterials, 28,<br />

(<strong>2007</strong>) 5000<br />

[3] C. Wilk<strong>in</strong>son, M. Riehle, M. Wood, J. Gallagher, A. Curtis,<br />

“The use of materials patterned on nano-and micro-metric scale<br />

<strong>in</strong> cellular eng<strong>in</strong>eer<strong>in</strong>g”, Materials Science and Eng<strong>in</strong>eer<strong>in</strong>g, 19,<br />

(2002) 263<br />

59


Composite Materials for Bone Implants<br />

M. Giazzon, M. Liley, G. Weder<br />

<strong>CSEM</strong> is develop<strong>in</strong>g methods to nanostructure (‘texture’) composite materials for use <strong>in</strong> orthopaedic implants. Photolithography and bead<br />

lithography methods are used to create master templates that are then replicated <strong>in</strong> a res<strong>in</strong> matrix. The structures will be tested for their effect on<br />

bone cells <strong>in</strong> vitro.<br />

The use of orthopaedic surgery to replace jo<strong>in</strong>ts and repair<br />

bone defects is <strong>in</strong>creas<strong>in</strong>g rapidly. Due to a more active ag<strong>in</strong>g<br />

population, the demands made on the materials used <strong>in</strong> these<br />

operations are also <strong>in</strong>creas<strong>in</strong>g: improved robustness, longer<br />

lifetimes and easier surgical procedures are expected for hip<br />

implants and other jo<strong>in</strong>t replacements.<br />

Metals have been used with enormous success <strong>in</strong> orthopaedic<br />

surgery, but there are still some problems associated with<br />

their use. While issues such as weight and thermal<br />

conductivity can be important for specific applications such as<br />

cranial repair, one major issue of almost universal importance<br />

is that of Young’s modulus. The high stiffness of metallic<br />

implants results <strong>in</strong> a mismatch <strong>in</strong> its mechanical properties<br />

and surround<strong>in</strong>g bone. This <strong>in</strong> turn leads to “stress shield<strong>in</strong>g”:<br />

loss of bone mass and weaken<strong>in</strong>g of the surround<strong>in</strong>g bone<br />

that may lead to implant failure. In this context, the repair of<br />

osteoporotic bone rema<strong>in</strong>s a major challenge.<br />

As a partner <strong>in</strong> the EU project Newbone, <strong>CSEM</strong> is work<strong>in</strong>g to<br />

develop composite materials that can be used <strong>in</strong> orthopaedic<br />

surgery. Glass-fibre re<strong>in</strong>forced composites (FRCs) based on<br />

polymeric materials currently used <strong>in</strong> dentistry are be<strong>in</strong>g<br />

tested and optimised for applications <strong>in</strong> bone repair. <strong>CSEM</strong>’s<br />

contribution is to test the effect of surface coat<strong>in</strong>gs and<br />

modification, with the goal of develop<strong>in</strong>g surface micro- and<br />

nanostructures that enhance cell growth, cell adhesion and<br />

the <strong>in</strong>tegration of the implant <strong>in</strong>to the surround<strong>in</strong>g bone.<br />

Figure 1: Hemispherical pits fabricated by replication <strong>in</strong> a bis-<br />

GMA/TEGDMA res<strong>in</strong> matrix<br />

The micro- and nanostructures are fabricated <strong>in</strong> the surface of<br />

the res<strong>in</strong> composite matrix by replication. The orig<strong>in</strong>al<br />

(“master”) structures are made by photolithography, <strong>in</strong> the<br />

case of microstructures (Figure 1), and bead lithography for<br />

the nanostructures (Figure 2). In a first stage, the two types of<br />

structures will be tested separately for their effect on cultured<br />

bone cell l<strong>in</strong>es. Previous studies on dental implants have<br />

shown that multi-length scale topographies give the best bone<br />

60<br />

<strong>in</strong>tegration. So, comb<strong>in</strong>ations of the <strong>in</strong>dividual structures that<br />

give the best results will also be tested.<br />

Figure 2: Pillar structures <strong>in</strong> a silicon master<br />

<strong>CSEM</strong> is also develop<strong>in</strong>g methods to determ<strong>in</strong>e the reaction<br />

of bone cells to structured surfaces. In addition to previously<br />

reported new methods to directly measure cell adhesion are<br />

be<strong>in</strong>g <strong>in</strong>vestigated. One of the most promis<strong>in</strong>g of these<br />

methods uses a specially adapted atomic force microscope<br />

(AFM) to measure the force necessary to pull <strong>in</strong>dividual cells<br />

from the surface (see Figure 3).<br />

Figure 3: Direct measurement of cell adhesion: a) A cell attached to<br />

an AFM cantilever is brought towards the surface. b) The cell<br />

contacts the surface. c) The cell is pulled away from the surface. The<br />

deflection of the cantilever is measured to determ<strong>in</strong>e the force<br />

applied to the cell. d) The cell is released from the surface.<br />

Initial results with this technique are promis<strong>in</strong>g, and it is hoped<br />

that it will give new <strong>in</strong>sights <strong>in</strong>to the <strong>in</strong>fluence of surface<br />

modification on the <strong>in</strong>teractions between bone cells and<br />

surfaces.<br />

This work was partially f<strong>in</strong>anced by the European Commission<br />

<strong>in</strong> the context of the Project Newbone (NMP3-CT-<strong>2007</strong>-<br />

026279). <strong>CSEM</strong> thanks them for their support.


Simultaneous Detection of Four Antibiotic Families <strong>in</strong> Milk for Customer Safety<br />

G. Voir<strong>in</strong>, R. Ischer, S. Pasche<br />

A biosensor for the detection of four antibiotic families has been realized and tested with reference milk samples <strong>in</strong> collaboration with European<br />

partners. The simultaneous detection and identification of antibiotics <strong>in</strong> contam<strong>in</strong>ated milk has been demonstrated.<br />

In the dairy <strong>in</strong>dustry, it is important to avoid contam<strong>in</strong>ation of<br />

milk with antibiotics which could modify the fermentation<br />

process of dairy products such as cheese or yogurt, or<br />

possibly cause allergic reactions <strong>in</strong> consumers (Figure 1). In<br />

the frame of the European project GoodFood [1] , a biosensor<br />

system for the detection of several antibiotics has been<br />

developed <strong>in</strong> collaboration with other European partners.<br />

<strong>CSEM</strong> has focused on a biosensor detection system based on<br />

the <strong>in</strong>terrogation of the resonance wavelength of a waveguide<br />

grat<strong>in</strong>g coupler (WIOS Wavelength Interrogated Optical<br />

Sens<strong>in</strong>g). The relevant antibiotics were identified dur<strong>in</strong>g a<br />

survey made <strong>in</strong> 30 countries around the world. Four antibiotic<br />

families were selected: sulphonamides, fluoroqu<strong>in</strong>olones,<br />

beta-lactams and tetracycl<strong>in</strong>es.<br />

Figure 1: Milk cha<strong>in</strong> from production to consumer, screen<strong>in</strong>g test<br />

must be performed as early as possible<br />

The detection system is based on the change of the refractive<br />

<strong>in</strong>dex of the sens<strong>in</strong>g surface due to the b<strong>in</strong>d<strong>in</strong>g of molecules.<br />

The refractive <strong>in</strong>dex change <strong>in</strong>duces a shift <strong>in</strong> the resonance<br />

wavelength of the waveguide grat<strong>in</strong>g coupler which is<br />

<strong>in</strong>terrogated us<strong>in</strong>g a periodically swept tunable laser. This<br />

detection method is sensitive to refractive <strong>in</strong>dex changes on<br />

the order of 10-6 , or the equivalent of several pg/mm2 of<br />

molecules b<strong>in</strong>d<strong>in</strong>g to the sensor surface.<br />

A competitive immunoassay format was chosen for the<br />

detection of the antibiotics. A specific sens<strong>in</strong>g surface was<br />

obta<strong>in</strong>ed by us<strong>in</strong>g recognition molecules such as antibodies<br />

and receptors, developed by GoodFood partners. Specific<br />

antibodies for the sulphonamide and fluoroqu<strong>in</strong>olone antibiotic<br />

families were obta<strong>in</strong>ed by immunization of rabbits, and are<br />

specific for a whole family of antibiotics (a family is a group of<br />

molecules with similar chemical structures). Receptor<br />

molecules specific for the beta-lactams and tetracycl<strong>in</strong>e<br />

antibiotic families were specially eng<strong>in</strong>eered to present a high<br />

aff<strong>in</strong>ity for a group of molecules. For each family, a test<br />

protocol was implemented on the biosensor platform and the<br />

cross reactions with the other reagents and antibiotics were<br />

tested. The goal was to obta<strong>in</strong> a biosensor platform with<br />

different sens<strong>in</strong>g regions each specific to one antibiotic family,<br />

allow<strong>in</strong>g detection <strong>in</strong> the different regions simultaneously with<br />

a unique milk sample. Us<strong>in</strong>g optical detection allows<br />

measurements on eight separate pads simultaneously.<br />

Figure 2 presents the calibration curves for each antibiotic<br />

result<strong>in</strong>g from competitive immunoassays.<br />

Figure 2: Calibration curves for sulphonamides, fluoroqu<strong>in</strong>olones,<br />

beta-lactams and tetracycl<strong>in</strong>es<br />

Validation of the detection system was performed <strong>in</strong> the<br />

laboratory of the Nestlé Research Center <strong>in</strong> Lausanne <strong>in</strong> the<br />

frame of a workshop where different methods developed <strong>in</strong><br />

GoodFood were compared. Reference milk samples with<br />

known concentration of antibiotics were used for these tests.<br />

The response signal observed with the milk sample on the<br />

different reactive regions of the chip were compared to the<br />

value obta<strong>in</strong>ed with milk contam<strong>in</strong>ated at the maximum<br />

residue limit level (MRL). Figure 3 displays the results<br />

obta<strong>in</strong>ed for the different reference milks, demonstrat<strong>in</strong>g that<br />

the four antibiotics can be detected at the MRL level.<br />

Figure 3: Measurement of different milk samples for the simultaneous<br />

detection of four antibiotics (dotted l<strong>in</strong>es <strong>in</strong>dicates signal at the MRL)<br />

In the frame of the GoodFood project, it was possible to<br />

develop a biosensor platform for the detection of four<br />

antibiotics families simultaneously at the maximum residue<br />

limit set <strong>in</strong> the legislation. Adapt<strong>in</strong>g this technology for Lab-<br />

On-a-Chip [2] will provide a tool for antibiotic screen<strong>in</strong>g at the<br />

farm level or before enter<strong>in</strong>g the dairy factory.<br />

This work was funded by SER, European Project FP6-IST-1-<br />

508774-IP and OFFT. <strong>CSEM</strong> thanks them for their support.<br />

[1] www.goodfood-project.org<br />

[2] G. Suárez, et al., “ Food Safety with the Help of a M<strong>in</strong>iaturized<br />

Laboratory”, <strong>in</strong> this report, page 64<br />

61


Smart Wound Dress<strong>in</strong>g with Integrated Biosensors<br />

S. Pasche, R. Ischer, S. Angeloni, M. Liley, J. Luprano, G. Voir<strong>in</strong><br />

Specific biosensors are be<strong>in</strong>g developed for the <strong>in</strong> situ monitor<strong>in</strong>g of wound heal<strong>in</strong>g, focus<strong>in</strong>g on pH measurements and on the detection of<br />

<strong>in</strong>fection markers. Ambulatory, real-time monitor<strong>in</strong>g will be made possible by <strong>in</strong>tegration of these sensors <strong>in</strong> wound dress<strong>in</strong>gs.<br />

Onl<strong>in</strong>e health monitor<strong>in</strong>g often requires hospitalization, which<br />

can become an expensive and <strong>in</strong>convenient choice for the<br />

patient. In this perspective, wearable sensors that allow <strong>in</strong> situ<br />

biosens<strong>in</strong>g without hospital surveillance constitute a very<br />

promis<strong>in</strong>g technology. The European project BIOTEX (“biosens<strong>in</strong>g<br />

textile for health management”) [1] aims to <strong>in</strong>tegrate<br />

sensors <strong>in</strong> textiles for medical applications. The <strong>CSEM</strong> goal is<br />

to develop immunosensors for a cont<strong>in</strong>uous control of the<br />

wound heal<strong>in</strong>g process, which are based on pH changes, as<br />

well as on the concentration of an <strong>in</strong>flammatory prote<strong>in</strong>, the<br />

C-reactive prote<strong>in</strong> (CRP).<br />

Sens<strong>in</strong>g pr<strong>in</strong>ciples <strong>in</strong>clude the use of responsive hydrogels<br />

that swell <strong>in</strong> response to changes <strong>in</strong> the environment<br />

(Figure 1a), and the use of functional surfaces that specifically<br />

recognize the target prote<strong>in</strong> (Figure 1b). Swell<strong>in</strong>g of<br />

pH-responsive hydrogels is a consequence of charg<strong>in</strong>g of the<br />

polymer cha<strong>in</strong>s that form the hydrogel. Functional surfaces<br />

rely on a dextran polymer layer (OptoDex ® ), which prevents<br />

non-specific adsorption from the biological medium and at the<br />

same time acts as covalent glue for immobilization of the<br />

receptor molecules.<br />

Figure 1: Sens<strong>in</strong>g pr<strong>in</strong>ciple for (a) a responsive hydrogel, and (b) a<br />

prote<strong>in</strong>-selective surface<br />

Detection is based on an optical signal, us<strong>in</strong>g the evanescent<br />

field of light propagat<strong>in</strong>g along a waveguide, to probe<br />

refractive <strong>in</strong>dex changes. Both hydrogel swell<strong>in</strong>g and<br />

biomolecule adsorption <strong>in</strong>duce changes <strong>in</strong> the refractive <strong>in</strong>dex<br />

above the surface, which affect the propagation of the<br />

waveguide mode. An optical sens<strong>in</strong>g system consist<strong>in</strong>g of a<br />

white light source (LED) and a detection spectrometer, which<br />

can be easily <strong>in</strong>tegrated <strong>in</strong>to a wound dress<strong>in</strong>g patch, has<br />

been designed (Figure 2).<br />

Figure 2: Optical detection scheme, compris<strong>in</strong>g illum<strong>in</strong>ation with<br />

white light, light propagation along the waveguide, and wavelength<br />

detection with a spectrometer.<br />

This system allows <strong>in</strong> situ optical detection of volume changes<br />

of a hydrogel layer deposited on a waveguide substrate, with<br />

sensitivity better than 10 -4 refractive <strong>in</strong>dex units,<br />

correspond<strong>in</strong>g to polymer swell<strong>in</strong>g on the order of 1% and to<br />

an adsorbed mass of ~100 pg/mm 2 .<br />

62<br />

Reversible optical monitor<strong>in</strong>g of hydrogel swell<strong>in</strong>g with<br />

response to pH was demonstrated us<strong>in</strong>g a pH-responsive<br />

hydrogel (Figure 3a). The range of pH sensitivity can be tuned<br />

by chang<strong>in</strong>g the hydrogel chemistry. Monitor<strong>in</strong>g of the<br />

concentration of CRP was performed us<strong>in</strong>g a specific surface<br />

chemistry with CRP receptors immobilized on dextran-coated<br />

waveguide chips (Figure 3b).<br />

a)<br />

b)<br />

Figure 3: In situ optical monitor<strong>in</strong>g of (a) pH, us<strong>in</strong>g a pH-responsive<br />

hydrogel, and (b) changes <strong>in</strong> the concentration of CRP <strong>in</strong> serum,<br />

us<strong>in</strong>g a functional surface with immobilized CRP receptors.<br />

In situ measurements are possible after <strong>in</strong>tegration of the<br />

biosensor <strong>in</strong>to a wearable sens<strong>in</strong>g patch (< 0.2 cm 2 ) that is<br />

connected via optical fibers to the detection system and power<br />

supply (Figure 4).<br />

Figure 4: Sens<strong>in</strong>g patch design to be later <strong>in</strong>tegrated <strong>in</strong> the wound<br />

dress<strong>in</strong>g<br />

The sens<strong>in</strong>g patch will later be <strong>in</strong>tegrated <strong>in</strong>to wound<br />

dress<strong>in</strong>gs or bandages, which will provide onl<strong>in</strong>e <strong>in</strong>formation<br />

on the state of the wound heal<strong>in</strong>g process. This novel<br />

technology will be particularly valuable <strong>in</strong> applications such as<br />

the ambulatory supervision of sk<strong>in</strong> grafts and ulcer treatments.<br />

This work is partly funded by the European Commission,<br />

FP6-IST-NMP-2-016789 and FP6-IST-026987. <strong>CSEM</strong> thanks<br />

them for their support.<br />

[1] www.biotex.eu.com


Biosensors for Drug Prevention<br />

B. Wenger, A.-M. Popa, S. Pasche, R. Pug<strong>in</strong>, G. Voir<strong>in</strong><br />

The biosens<strong>in</strong>g platform developed at <strong>CSEM</strong> is an attractive solution to detect illegal drugs and other substances concern<strong>in</strong>g the security of<br />

citizens. However, to match the detection limits set by official authorities, the sensitivity must be improved. Therefore, an approach based on the<br />

nanostructuration of the sens<strong>in</strong>g surfaces to <strong>in</strong>crease the amount of analyte that can be trapped at the <strong>in</strong>terface has been tested.<br />

A major issue <strong>in</strong> the security of public build<strong>in</strong>gs and transport<br />

is the fast and specific identification of substances such as<br />

chemical and biological toxic agents, explosives and illegal<br />

drugs. Cont<strong>in</strong>uous monitor<strong>in</strong>g of trace concentrations <strong>in</strong><br />

conjunction with detoxification systems is envisioned to<br />

protect people from terrorist threats. The wavelength<strong>in</strong>terrogated<br />

optical sens<strong>in</strong>g (WIOS) system developed at<br />

<strong>CSEM</strong> offers the versatility to tackle several of these target<br />

analytes.<br />

The detection mechanism of this <strong>in</strong>strument is based on the<br />

measurement of a change of refractive <strong>in</strong>dex at the surface of<br />

a waveguide. Monochromatic light is coupled <strong>in</strong>to and out of<br />

the waveguide through grat<strong>in</strong>gs and the laser is tuned <strong>in</strong> order<br />

to f<strong>in</strong>d the resonance wavelength correspond<strong>in</strong>g to the given<br />

coupl<strong>in</strong>g angles and the effective <strong>in</strong>dex of the waveguide. The<br />

chips are usually functionalized with a specific biological<br />

receptor (e.g. antibodies) and adsorption k<strong>in</strong>etics are<br />

monitored <strong>in</strong> real time through a change <strong>in</strong> resonance<br />

wavelength.<br />

In this project, the functionalization of the surfaces was a<br />

result of the collaboration with external partners. Securetec<br />

Detektions-Systeme AG provided coca<strong>in</strong>e-b<strong>in</strong>d<strong>in</strong>g antibodies<br />

and a hapten conjugate featur<strong>in</strong>g several attached coca<strong>in</strong>e<br />

molecules. One of these substances is attached to the surface<br />

of the waveguide by means of a photo-crossl<strong>in</strong>kable polymer<br />

(OptoDex®, Arrayon Biotechnologies) while the second is<br />

used <strong>in</strong> solution together with coca<strong>in</strong>e for competitive<br />

immunoassays (Figure 1).<br />

Figure 1: Competitive immunoassay for coca<strong>in</strong>e detection<br />

The detection limit for coca<strong>in</strong>e for this assay is around<br />

100 ppb. A typical application of this technique would be to<br />

f<strong>in</strong>d traces of illegal drugs <strong>in</strong> shipp<strong>in</strong>g conta<strong>in</strong>ers. However,<br />

because of the very low volatility of this compound only traces<br />

are present <strong>in</strong> air. Therefore, lower detection limits are<br />

required. Although pre-concentration is feasible dur<strong>in</strong>g the<br />

sampl<strong>in</strong>g step, improvement of the current platform is more<br />

desirable.<br />

One way to enhance the signal is to make the surface rougher<br />

<strong>in</strong> order to <strong>in</strong>crease the amount of analyte that can be probed<br />

<strong>in</strong> the evanescent field of the guided light mode. As the<br />

penetration depth of the wave <strong>in</strong>to the solution is less than<br />

200 nm, nanostructures are required.<br />

As a first trial, mesoporous layers made of metal oxide<br />

nanoparticles (SiO2, AlOOH,...) were deposited and stabilized<br />

with a polymer. After functionalization, these layers showed<br />

enhanced detection sensitivities up to 3-5 times for model<br />

prote<strong>in</strong>s. However, due to the size of the pores, the<br />

penetration of the prote<strong>in</strong>s <strong>in</strong>to the mesoporous network was<br />

slow and <strong>in</strong>complete.<br />

The second approach was to form nano-pillars and nanoholes<br />

<strong>in</strong> a th<strong>in</strong> silica layer deposited on top of the waveguide.<br />

An <strong>in</strong>-house procedure [1] based on block copolymer <strong>in</strong>verse<br />

micelles as templates was used (Figure 2). The depth of the<br />

features is typically up to 100 nm mak<strong>in</strong>g the entire<br />

nanostructure accessible to the evanescent field of the guided<br />

light. Thus, the transducer surface area is drastically<br />

<strong>in</strong>creased.<br />

Figure 2: Nano-pillars (right) and nano-holes (left) <strong>in</strong> SiO2 realised by<br />

etch<strong>in</strong>g on WIOS grat<strong>in</strong>g chips. Depth: ~80 nm, distance between<br />

pillars: ~200 nm.<br />

These roughened surfaces are currently under <strong>in</strong>vestigation.<br />

They will be optimized for the WIOS measurement system<br />

and the signal enhancement will be characterized<br />

This work was funded by the European Community via the<br />

Integrated Project NANOSECURE NMP3-CT-<strong>2007</strong>-026549.<br />

[1] S. Krishnamoorthy, et al., Langmuir, 22, (2006) 3450-3452<br />

63


Food Safety with the Help of a M<strong>in</strong>iaturized Laboratory<br />

G. Suárez, S. Pasche, R. Ischer, G. Voir<strong>in</strong>, N. Schmid, J. Auerswald<br />

A m<strong>in</strong>iaturized laboratory, often referred to as a “lab-on-a-chip”, based on the Wavelength Interrogated Optical Sens<strong>in</strong>g (WIOS) system has been<br />

developed for the simultaneous detection of several residual antibiotics <strong>in</strong> fresh milk.<br />

One of the major challenges <strong>in</strong> food safety is <strong>in</strong>tegrat<strong>in</strong>g<br />

quality control <strong>in</strong>to the process of production and/or<br />

commercialization. Specifically, the analysis must be accurate,<br />

fast, cost-efficient, disposable and easy to operate by the nonskilled<br />

technician. In the milk <strong>in</strong>dustry <strong>in</strong> particular, levels of<br />

residues of veter<strong>in</strong>ary medic<strong>in</strong>al products, of which antibiotics<br />

represent a significant part, are strictly regulated by the<br />

European Union legislation. More precisely, a series of four<br />

families of antibiotics are found to be of particular <strong>in</strong>terest:<br />

・・lactams, tetracycl<strong>in</strong>es, sulfonamides and<br />

fluoroqu<strong>in</strong>olones. In the framework of this CCMX<br />

(Competence Centre for Materials Science and Technology)<br />

project, the side-by-side development of a label-free multidetection<br />

system and an <strong>in</strong>tegrated microfluidic cartridge<br />

converges to simultaneous and fast detection (< 15 m<strong>in</strong>) of<br />

four antibiotics <strong>in</strong> the field environment.<br />

The label-free multidetection system is based on WIOS<br />

technology developed at <strong>CSEM</strong> and allows sensitive detection<br />

of biomolecules adsorbed on the waveguide chip. The<br />

selective adsorption of molecules from the solution <strong>in</strong>duces a<br />

change of refractive <strong>in</strong>dex at the <strong>in</strong>terface which is monitored.<br />

Competitive immunoassays based on the specificity of either<br />

antibodies or receptors have previously been developed for<br />

the simultaneous multi-detection of antibiotics and have been<br />

optimized <strong>in</strong> the framework of the European project<br />

GoodFood [1] . The other aspect of this work consists of<br />

develop<strong>in</strong>g and fabricat<strong>in</strong>g a microfluidic cartridge that<br />

<strong>in</strong>tegrates both the sensor chip and the reagents required for<br />

the assay. Currently the cartridge is fabricated from a piece of<br />

plastic (PMMA) of dimensions 10 x 4 x 0.7 cm <strong>in</strong> which<br />

channels and reservoirs are mach<strong>in</strong>ed by micromill<strong>in</strong>g.<br />

Prior to the analysis, a small volume of milk (≈ 1 ml) is<br />

<strong>in</strong>troduced <strong>in</strong>to a vial conta<strong>in</strong><strong>in</strong>g the assay reagents. The vial<br />

is closed with a rubber-cap and <strong>in</strong>troduced upside down <strong>in</strong>to<br />

the sample vial holder located on the microfluidic cartridge.<br />

Two needle-<strong>in</strong>lets on the base of the vial holder pierce the<br />

rubber-cap and connect the sample to the fluidic system.<br />

Figure 1: Setup of the analysis system<br />

In terms of fluidics, the overall setup of Figure 1 is based on<br />

the use of a s<strong>in</strong>gle syr<strong>in</strong>ge pump work<strong>in</strong>g <strong>in</strong> aspiration mode<br />

coupled with a multiposition valve connected to atmospheric<br />

64<br />

pressure. The basic idea beh<strong>in</strong>d the setup is to control which<br />

liquid (sample, buffers…) is driven through the cartridge<br />

channels by submitt<strong>in</strong>g its reservoir to the atmosphere while a<br />

negative pressure is applied at the other end of the cartridge.<br />

Moreover, the use of a 3-port valve on the pump allows for<br />

two possible pathways on the cartridge: the load<strong>in</strong>g path (to<br />

deviate residual air from the sens<strong>in</strong>g chip) and the sens<strong>in</strong>g<br />

path which addresses the liquid to the sens<strong>in</strong>g regions for<br />

reaction/measurement. Waste reservoirs ensure that no<br />

residual liquid goes out of the cartridge. With this simple setup<br />

neither the valve nor the pump are directly <strong>in</strong> contact with the<br />

solutions used dur<strong>in</strong>g the assay; thus, no contam<strong>in</strong>ation<br />

occurs.<br />

Figure 2: Picture of the whole detection setup with microfluidic<br />

cartridge <strong>in</strong>serted <strong>in</strong>to holder <strong>in</strong>terface (grey box)<br />

The system (Figure 2) was tested successfully with spiked<br />

milk samples demonstrat<strong>in</strong>g the automated detection of two<br />

antibiotic families (sulfonamides and fluoroqu<strong>in</strong>olones)<br />

(Figure 3).<br />

Figure 3: Typical signals obta<strong>in</strong>ed for antibiotics detection <strong>in</strong> milk<br />

This system that is currently under optimization for antibiotics<br />

detection <strong>in</strong> milk rema<strong>in</strong>s easily adaptable to further<br />

applications (eg. food analysis or biomedical diagnosis).<br />

This work was funded by CCMX-MMNS Lab-On-a-Chip<br />

project, European Project FP6-IST-1-508774-IP and OFFT.<br />

<strong>CSEM</strong> thanks them for their support.<br />

[1] G. Voir<strong>in</strong>, et al., “Simultaneous Detection of Four Antibiotic<br />

Families <strong>in</strong> Milk for Customer Safety”, <strong>in</strong> this report, page 61<br />

www.goodfood-project.org


Wearable Biosensors <strong>in</strong> Protective Cloth<strong>in</strong>g<br />

G. Voir<strong>in</strong>, S. Pasche, R. Ischer, E. Scolan, M. Tormen, G. Dudnik, J. Luprano<br />

A non-<strong>in</strong>vasive biosensor for the detection of stress markers, such as lactate <strong>in</strong> sweat, is be<strong>in</strong>g developed. This system will be directly <strong>in</strong>tegrated <strong>in</strong><br />

the garment of professional rescuers and fire-fighters to improve the safety of these professionals dur<strong>in</strong>g their <strong>in</strong>tervention.<br />

In the European project BIOTEX [1] , <strong>CSEM</strong> developed a<br />

m<strong>in</strong>iaturized label-free biosensor system for application <strong>in</strong><br />

wound dress<strong>in</strong>g [2] . The European project PROETEX [3] aims at<br />

develop<strong>in</strong>g textile and fibre based, <strong>in</strong>tegrated, smart wearable<br />

sensors for emergency disaster <strong>in</strong>tervention personnel with<br />

the goal of improv<strong>in</strong>g their safety, coord<strong>in</strong>ation and efficiency.<br />

A non-<strong>in</strong>vasive, wearable biosensor is currently be<strong>in</strong>g<br />

developed for the detection of biological parameters <strong>in</strong> sweat.<br />

An exam<strong>in</strong>ation <strong>in</strong>to the needs of workers from the field of<br />

disaster management (town and forest fire-fighters, civil<br />

protection, etc.) has <strong>in</strong>dicated the importance of controll<strong>in</strong>g<br />

one’s physiological stress dur<strong>in</strong>g <strong>in</strong>tervention under<br />

dangerous conditions such as heat, low visibility and a low<br />

oxygen. As the blood lactate level is a known <strong>in</strong>dicator of<br />

physiological stress, the aim of this project is to develop a<br />

non-<strong>in</strong>vasive biosensor that directly measures lactate levels <strong>in</strong><br />

sweat.<br />

An <strong>in</strong>itial biological detection system is based on responsive<br />

hydrogels that shr<strong>in</strong>k or swell <strong>in</strong> response to an external<br />

stimulus (lactate concentration). The polymer cha<strong>in</strong>s of the<br />

hydrogel are functionalized with specific enzymes (lactate<br />

oxidase) that are <strong>in</strong>corporated before polymerisation.<br />

Conversion of lactate to pyruvate changes the ionic<br />

concentration <strong>in</strong> the hydrogel, which affects the hydrogel<br />

volume by an osmotic effect (Figure 1).<br />

Figure 1: Swell<strong>in</strong>g of an enzyme-responsive hydrogel due to an<br />

osmotic effect<br />

The volume change of the hydrogel directly translates <strong>in</strong>to a<br />

change <strong>in</strong> refractive <strong>in</strong>dex that can be monitored with a label<br />

free optical biosensor based on the wavelength <strong>in</strong>terrogation<br />

of a waveguide grat<strong>in</strong>g coupler. A m<strong>in</strong>iaturized system<br />

compris<strong>in</strong>g optical fibres, a lens and a waveguide grat<strong>in</strong>g will<br />

be <strong>in</strong>tegrated <strong>in</strong>to a textile garment (Figure 2). A micro<br />

spectrometer based on a MEMS tunable grat<strong>in</strong>g [4] is presently<br />

be<strong>in</strong>g developed for detection of the wavelength shift;<br />

however, for <strong>in</strong>itial tests, a commercial m<strong>in</strong>i spectrometer has<br />

been used.<br />

Figure 2: Sens<strong>in</strong>g pr<strong>in</strong>ciple and realized scheme us<strong>in</strong>g waveguide<br />

grat<strong>in</strong>g, lens and optical fiber<br />

In a second approach, the sens<strong>in</strong>g layer is placed directly on<br />

optical fibres that can easily be <strong>in</strong>tegrated <strong>in</strong> a textile structure.<br />

In this case, a colorimetric approach was chosen us<strong>in</strong>g pH<br />

<strong>in</strong>dicators <strong>in</strong>corporated <strong>in</strong> a sol-gel matrix that is deposited on<br />

the core of the optical fibre. Sensitivity to lactate is obta<strong>in</strong>ed by<br />

<strong>in</strong>corporat<strong>in</strong>g a specific enzyme <strong>in</strong> the sol-gel matrix.<br />

Prelim<strong>in</strong>ary results on the fabrication of pH sensitive sol-gel<br />

are shown <strong>in</strong> Figure 3.<br />

Figure 3: Color change of a pH sensitive sol-gel matrix exposed to<br />

different pH<br />

The use of m<strong>in</strong>iaturized biosensors allows their <strong>in</strong>tegration <strong>in</strong><br />

textile garments for the non-<strong>in</strong>vasive monitor<strong>in</strong>g of a biological<br />

marker of stress directly <strong>in</strong> sweat. This next generation of<br />

smart garments will improve the safety of professional<br />

rescuers dur<strong>in</strong>g dangerous <strong>in</strong>tervention.<br />

This work is partly funded by the European Commission:<br />

project FP6-IST-026987. <strong>CSEM</strong> thanks them for their support.<br />

[1] www.biotex-eu.com<br />

[2] S. Pasche, “Smart Wound Dress<strong>in</strong>g with Integrated Biosensors”,<br />

<strong>in</strong> this report, page 62<br />

[3] www.proetex.org<br />

[4] M. Tormen, “TUGON – Compact MEMS-based Spectrometers<br />

for Infra-Red Spectroscopy”, <strong>in</strong> this report, page 19<br />

65


NANOMEDICINE<br />

Peter Seitz<br />

Mandated by the authorities of the Canton of the Grisons and<br />

the Pr<strong>in</strong>cipality of Liechtenste<strong>in</strong>, <strong>CSEM</strong> has carried out an <strong>in</strong>depth<br />

study of the feasibility of a new <strong>in</strong>novation center <strong>in</strong> the<br />

Alp<strong>in</strong>e Rh<strong>in</strong>e Valley, with the ma<strong>in</strong> objective of significant<br />

economic impact <strong>in</strong> this region. To this end, the new <strong>CSEM</strong><br />

<strong>in</strong>novation center must build up <strong>activities</strong> and competencies <strong>in</strong><br />

a doma<strong>in</strong> where an already well-established regional <strong>in</strong>dustry<br />

cluster can be found, but for which <strong>CSEM</strong> has a lot of preexist<strong>in</strong>g<br />

technologies and know-how to offer.<br />

In close collaboration with the regional <strong>in</strong>dustry, <strong>research</strong><br />

organizations, universities and the political authorities, the<br />

novel and highly promis<strong>in</strong>g area of Nanomedic<strong>in</strong>e has been<br />

selected. S<strong>in</strong>ce the Alp<strong>in</strong>e Rh<strong>in</strong>e Valley is already home to a<br />

substantial, successful MedTech cluster and <strong>CSEM</strong> has a rich<br />

portfolio of nanotechnological solutions to offer, Nanomedic<strong>in</strong>e<br />

appears to be a natural choice.<br />

Accord<strong>in</strong>g to the European Science Foundation,<br />

Nanomedic<strong>in</strong>e is def<strong>in</strong>ed as the science and technology for:<br />

• Diagnos<strong>in</strong>g, treat<strong>in</strong>g and prevent<strong>in</strong>g diseases and<br />

traumatic <strong>in</strong>jury<br />

• Reliev<strong>in</strong>g chronic and acute pa<strong>in</strong><br />

• Improv<strong>in</strong>g and prolong<strong>in</strong>g human health,<br />

through the use of molecular <strong>in</strong>struments and tools, and by<br />

understand<strong>in</strong>g the function<strong>in</strong>g of the human body on the<br />

molecular level [1] . In short, Nanomedic<strong>in</strong>e is “Nano for Health”.<br />

The driv<strong>in</strong>g force for the <strong>activities</strong> of <strong>CSEM</strong> is rarely scientific<br />

curiosity but most often the creation of huge economic impact<br />

through the development of products and services with<br />

significant value for large user communities. For this reason, a<br />

key question to be answered is whether Nanomedic<strong>in</strong>e has<br />

the potential of mak<strong>in</strong>g significant contributions to the future of<br />

health care. Due to the current vast demographic change<br />

(over-age<strong>in</strong>g population), large world-wide <strong>in</strong>dustrialization<br />

and grow<strong>in</strong>g expectations for a better quality of life <strong>in</strong> our<br />

society, health care costs are explod<strong>in</strong>g. To moderate this<br />

development, the future of health care is envisaged to be<br />

head<strong>in</strong>g <strong>in</strong> the direction of 4P medic<strong>in</strong>e, which will be:<br />

• Personalized, through the use of cost-effective, <strong>in</strong>dividual<br />

diagnosis, therapy and monitor<strong>in</strong>g<br />

• Predictive, by establish<strong>in</strong>g and exploit<strong>in</strong>g personal<br />

genome and health risk profiles<br />

• Preventative, thanks to cost-effective screen<strong>in</strong>g,<br />

therapeutic and long-term observation methods (f<strong>in</strong>d-fightfollow)<br />

• Participatory, by empower<strong>in</strong>g the patient and her caregiver<br />

<strong>in</strong> cl<strong>in</strong>ical environments and at home.<br />

On-go<strong>in</strong>g <strong>research</strong> <strong>in</strong> Nanomedic<strong>in</strong>e is target<strong>in</strong>g key elements<br />

of 4P medic<strong>in</strong>e – from low-cost gene profil<strong>in</strong>g, over novel<br />

functional imag<strong>in</strong>g methods for liv<strong>in</strong>g cells, to m<strong>in</strong>iaturized<br />

theranostic systems (<strong>in</strong>tegrated comb<strong>in</strong>ations of diagnostic<br />

and therapeutic devices) – to contribute substantially to<br />

turn<strong>in</strong>g this vision <strong>in</strong>to reality.<br />

Build<strong>in</strong>g on traditional strengths of <strong>CSEM</strong>, the particular<br />

contributions of the new <strong>CSEM</strong> Research Center for<br />

Nanomedic<strong>in</strong>e <strong>in</strong> Landquart are foreseen <strong>in</strong> the follow<strong>in</strong>g four<br />

areas:<br />

• Functional nano-imag<strong>in</strong>g, by exploit<strong>in</strong>g element-sensitive<br />

X-ray techniques and advanced fluorescence microscopy<br />

methods for the acquisition of 2D and 3D images of liv<strong>in</strong>g<br />

cells <strong>in</strong> real time and with sub-micrometer resolution. This<br />

will provide new <strong>in</strong>sight <strong>in</strong>to the function<strong>in</strong>g of cells under<br />

various conditions.<br />

• Bio-selective surfaces, through the functionalization of<br />

metallic, dielectric, plastic and ceramic surfaces with<br />

organic or <strong>in</strong>organic layers with application-specific<br />

properties, such as anti-bio-foul<strong>in</strong>g or enhanced bone <strong>in</strong>growth.<br />

• Versatile cell growth reactors, as major tools for<br />

toxicological tests of novel substances (“cytotox platform”)<br />

enabl<strong>in</strong>g significant reduction of animal test<strong>in</strong>g, as well as<br />

for regenerative medic<strong>in</strong>e, allow<strong>in</strong>g the production of large<br />

quantities of differentiated cells from a small quantity of<br />

patient-supplied healthy cells.<br />

• Robust medical sens<strong>in</strong>g, with the aim of provid<strong>in</strong>g novel<br />

sens<strong>in</strong>g pr<strong>in</strong>ciples and devices, capable of yield<strong>in</strong>g reliable<br />

measurement results of critical vital parameters over long<br />

periods. This <strong>in</strong>cludes “smart tubes” for the sensitive and<br />

selective gas analysis <strong>in</strong> human breath, as well as EIT<br />

(electro-impedance-tomography) systems on various<br />

scales.<br />

The <strong>activities</strong> of the fledgl<strong>in</strong>g <strong>CSEM</strong> Research Center for<br />

Nanomedic<strong>in</strong>e have already resulted <strong>in</strong> the creation of a first<br />

startup company, Dynetix AG, provid<strong>in</strong>g label-free bio-sens<strong>in</strong>g<br />

solutions [2] . This has only been made possible through the<br />

support of other <strong>CSEM</strong> divisions, which provided key<br />

elements of the technology at Dynetix.<br />

True to its ma<strong>in</strong> mission, the <strong>CSEM</strong> Research Center for<br />

Nanomedic<strong>in</strong>e has already started several applied <strong>research</strong><br />

projects <strong>in</strong> the four doma<strong>in</strong>s summarized above with high<br />

potential for last<strong>in</strong>g economic impact <strong>in</strong> the Alp<strong>in</strong>e Rh<strong>in</strong>e<br />

Valley, through close collaborations with regional <strong>in</strong>dustrial<br />

partners, by provid<strong>in</strong>g SME with technological solutions and<br />

access to the vast R&D network at <strong>CSEM</strong>, as well as with the<br />

preparation of more startups to be created <strong>in</strong> the com<strong>in</strong>g<br />

years.<br />

[1] European Science Foundation, “Nanomedic<strong>in</strong>e – An EMRC<br />

Forward Look Report“, ESF 2005<br />

[2] Dynetix AG, http://www.dynetix.ch/<br />

67


Robust Label-Free Biosensor us<strong>in</strong>g BRIGHT [1] Technology<br />

M. Wiki, F. Kehl, P. Seitz<br />

Among the various biosensor technologies, optical biosensors are the most promis<strong>in</strong>g for cost-effective, sensitive and high-throughput biochemical<br />

screen<strong>in</strong>g. They can easily analyse the response of a biochemical b<strong>in</strong>d<strong>in</strong>g event without the need of any fluorescent labels. The optimized BRIGHT<br />

technology fulfils the key requirements for optical biosensors, <strong>in</strong> particular sensor robustness and reduced time-to-result.<br />

The requirements of biosensor <strong>in</strong>struments for <strong>research</strong> and<br />

<strong>in</strong>dustrial needs today are copious, and a commercially<br />

successful system must be capable of meet<strong>in</strong>g the<br />

expectations of a demand<strong>in</strong>g user <strong>in</strong> the laboratory:<br />

• Real-time and <strong>in</strong> situ monitor<strong>in</strong>g<br />

• Sensitive, stable and reproducible<br />

• Detection technologies without the need of labels<br />

• Reduction of cost, time and labor<br />

Based on its successful WIOS pr<strong>in</strong>ciple [2] , <strong>CSEM</strong> has<br />

developed dur<strong>in</strong>g the past two years its BRIGHT technology<br />

for use <strong>in</strong> label-free biosensor measurement systems. A<br />

series of recent improvements has led to the realization of an<br />

easy-to-use <strong>in</strong>strument, shown <strong>in</strong> Figures 1 and 2, provid<strong>in</strong>g<br />

fast and accurate multi-channel dynamic measurements <strong>in</strong><br />

everyday lab use.<br />

Figure 1: The BRIGHT technology is the basis of the versatile<br />

biosensor <strong>in</strong>strument BR-8 of the <strong>CSEM</strong> startup company Dynetix<br />

AG.<br />

The BRIGHT technology (“Bio-layer optical Resonance<br />

Interrogation for High Throughput”) allows sensitive, yet robust<br />

label-free measurement of association and dissociation rates,<br />

aff<strong>in</strong>ity constants, and determ<strong>in</strong>ation of specificity of<br />

bioreactive molecules. In the development of the BRIGHT<br />

technology, great emphasis has been put on the expectations<br />

of a typical laboratory user, with the goal of mak<strong>in</strong>g his daily<br />

work as simple, efficient and reliable as possible.<br />

Therefore, the ma<strong>in</strong> focus <strong>in</strong> the development of the BRIGHTbased<br />

biosensor <strong>in</strong>strument was its robustness, the<br />

significantly reduced signal drift, elim<strong>in</strong>ation of optical and<br />

electrical <strong>in</strong>terferences, <strong>in</strong>ternal stray light rejection, as well as<br />

substantial improvements of the electronics, temperature<br />

stabilization, control software and signal process<strong>in</strong>g<br />

algorithms for efficient elim<strong>in</strong>ation of cross talk between the<br />

different sensor channels.<br />

68<br />

Figure 2: Table-top label-free biosensor <strong>in</strong>strument BR-8 <strong>in</strong>clud<strong>in</strong>g<br />

temperature stabilization of the sensor chip SC-8.<br />

Due to these improvements at the heart of the BRIGHT<br />

technology, the long preparation time prior to a measurement<br />

has been reduced dramatically: In commercial systems of<br />

today, biosensor chips must often be prepared <strong>in</strong> advance and<br />

stabilized <strong>in</strong> buffer solution overnight. Conversely, BRIGHT<br />

technology reduces the preparation time “to a fraction of an<br />

hour”, as reported by external <strong>research</strong> <strong>in</strong>stitutes dur<strong>in</strong>g tests.<br />

As a result, several consecutive measurements can now be<br />

performed with<strong>in</strong> a short time, and long delays between<br />

measurements can be avoided, sav<strong>in</strong>g labor time and<br />

<strong>in</strong>creas<strong>in</strong>g throughput.<br />

The new biosensor system BR-8 allows the measurement of<br />

up to 8 channels simultaneously, with negligible crosstalk<br />

between the different channels. Measurements are carried out<br />

conveniently and reliably thanks to the disposable, versatile<br />

biosensor chip SC-8. S<strong>in</strong>ce no metals are used <strong>in</strong> the<br />

construction of the transparent SC-8 chip, <strong>in</strong>terference with<br />

the biochemical reactions under <strong>in</strong>vestigation is elim<strong>in</strong>ated.<br />

Due to the <strong>in</strong>creas<strong>in</strong>g demand for the BRIGHT-based,<br />

versatile biosensor <strong>in</strong>strument BR-8 by <strong>in</strong>dustrial and <strong>research</strong><br />

laboratories, <strong>CSEM</strong> has created the startup DYNETIX AG [3] at<br />

its new Research Renter for Nanomedic<strong>in</strong>e, <strong>in</strong> Landquart (GR)<br />

for the commercialization of the BR-8 <strong>in</strong>strument.<br />

[1] BRIGHT = Bio-layer optical Resonance Interrogation for High<br />

Throughput<br />

[2] WIOS = Wavelength <strong>in</strong>terrogated <strong>in</strong>tegrated optical sensor<br />

[3] www.dynetix.ch


X-Ray Microscopy and Micrometer-Resolution Computer Tomography<br />

J. Nüesch, P. Seitz<br />

Recent advances <strong>in</strong> microfocus X-ray sources and high-sensitivity X-ray detection have revived <strong>in</strong>terest <strong>in</strong> 2D and 3D non-destructive test<strong>in</strong>g of<br />

optically opaque biological and technical samples, offer<strong>in</strong>g a geometrical resolution of down to micrometers <strong>in</strong> table-top <strong>in</strong>struments.<br />

Imag<strong>in</strong>g with electromagnetic radiation <strong>in</strong> the soft and medium<br />

X-ray region (photon energies between 1-30 keV) is an<br />

excellent tool for the 2D and 3D <strong>in</strong>vestigation of optically<br />

opaque biological and technical samples. Recent advances <strong>in</strong><br />

microfocus X-ray sources and high-sensitivity X-ray detection<br />

have made it possible to realize table-top <strong>in</strong>struments for nondestructive<br />

X-ray imag<strong>in</strong>g with a geometrical resolution down<br />

to micrometers. This resolution makes it possible, among<br />

other th<strong>in</strong>gs, to study the behavior of liv<strong>in</strong>g cells, also <strong>in</strong> dense<br />

matrices of functional biological tissues. Of particular <strong>in</strong>terest<br />

are the actions of osteoblasts and osteolcasts, the cells <strong>in</strong> the<br />

human body which build up and destroy bone material.<br />

A universal <strong>in</strong>strument, shown <strong>in</strong> Figure 1, has been realized<br />

with which X-ray images of small samples with a volume of<br />

less than 5 x 5 x 5 mm3 can be acquired. S<strong>in</strong>ce the X-ray<br />

energy <strong>in</strong> the wide range of 1-100 keV can be computercontrolled,<br />

the acquisition conditions for maximum<br />

<strong>in</strong>formation-content of the signals, depend<strong>in</strong>g on the<br />

thickness, the density and the elemental composition of a<br />

sample can be optimized.<br />

Figure 1: Universal <strong>in</strong>strument for X-ray microscopy and Computer<br />

Tomography with micrometer resolution.<br />

The design makes use of a novel type of microfocus X-ray<br />

tube with a spot size of 3 µm. The magnification of the<br />

<strong>in</strong>strument is chosen with the mechanically adaptable<br />

constellation between X-ray spot, sample and detector<br />

subsystem. S<strong>in</strong>ce the sample is placed on a high-precision<br />

computer-controlled rotary table, the necessary data for 3D<br />

reconstruction of the sample volume, us<strong>in</strong>g the techniques of<br />

Computer Tomography (CT) can be acquired.<br />

Two different types of X-ray imag<strong>in</strong>g systems are be<strong>in</strong>g used<br />

and <strong>in</strong>vestigated; both are based on a comb<strong>in</strong>ation of highefficiency<br />

sc<strong>in</strong>tillat<strong>in</strong>g material and an ultra-low-noise solidstate<br />

digital camera. The first approach consists of glu<strong>in</strong>g a<br />

th<strong>in</strong> (a few 100 µm thick) platelet of sc<strong>in</strong>tillat<strong>in</strong>g material<br />

directly on the image sensor. S<strong>in</strong>ce this complicates the<br />

cool<strong>in</strong>g of the image sensor, the second approach is currently<br />

be<strong>in</strong>g concentrated on the optical imag<strong>in</strong>g of the sc<strong>in</strong>tillator<br />

platelet us<strong>in</strong>g a mirror and a high-aperture objective (f/1.2), as<br />

shown <strong>in</strong> Figure 2. This allows not only plac<strong>in</strong>g the digital<br />

camera out of the direct X-ray beam, but the overall resolution<br />

of the system can be improved by us<strong>in</strong>g a geometrical<br />

magnification and by focus<strong>in</strong>g on an <strong>in</strong>ner plane of the<br />

sc<strong>in</strong>tillator, optimiz<strong>in</strong>g the contrast of the acquired images.<br />

Figure 2: X-ray detection sub-system, consist<strong>in</strong>g of a sc<strong>in</strong>tillator<br />

platelet, a mirror and an ultra-low-noise digital camera with a highaperture<br />

(f/1.2) imag<strong>in</strong>g lens.<br />

An example of a digital X-ray micrograph taken with an <strong>in</strong>itial<br />

X-ray microscopy setup is shown <strong>in</strong> Figure 3. The object<br />

under study is a USB memory stick. The currently achieved<br />

lateral resolution is about 20 µm.<br />

Figure 3: X-ray micrograph of the USB memory stick offered by<br />

<strong>CSEM</strong> to their customers and partners<br />

The <strong>in</strong>strument is presently be<strong>in</strong>g improved by several means,<br />

to make it useful for biological samples, such as liv<strong>in</strong>g cells,<br />

where a resolution of < 5 µm is required: Incorporation of a<br />

digital camera with more, smaller and lower-noise pixels,<br />

better focused optical imag<strong>in</strong>g system with larger effective<br />

aperture, as well as enhanced shield<strong>in</strong>g for reduced noise.<br />

69


SYSTEMS ENGINEERING<br />

Mario El-Khoury<br />

Today, the genius of diverse technology <strong>in</strong>tegration and<br />

convergence enables many companies to offer <strong>in</strong>novative<br />

products and services to their customers. New telemedic<strong>in</strong>e<br />

devices are regularly appear<strong>in</strong>g. Such devices may for<br />

example, comb<strong>in</strong>e GPS, sensors and communication<br />

technologies to provide the users with better comfort and<br />

safety levels. In the consumer market, the N<strong>in</strong>tendo’s Wii is<br />

becom<strong>in</strong>g an all-time success-story thanks to the smart<br />

<strong>in</strong>tegration of motion sensors (accelerometers) <strong>in</strong> the remote<br />

controller.<br />

The ma<strong>in</strong> success factors are not the result of the vertical<br />

mastery of base technologies, but stem from the <strong>in</strong>novative<br />

comb<strong>in</strong>ation and <strong>in</strong>teraction of diverse but well known<br />

technologies. The challenges associated with such<br />

<strong>in</strong>novations <strong>in</strong>clude sensor fusion, m<strong>in</strong>iaturisation, energy<br />

consumption, reliability and cost reduction.<br />

The Systems Eng<strong>in</strong>eer<strong>in</strong>g activity at <strong>CSEM</strong> is devoted to the<br />

applied <strong>research</strong> and development of <strong>in</strong>novative solutions<br />

<strong>in</strong>volv<strong>in</strong>g the comb<strong>in</strong>ation of multidiscipl<strong>in</strong>ary technologies,<br />

with a particular focus on:<br />

• Portable monitor<strong>in</strong>g devices<br />

• Communication systems<br />

• High precision mechatronic <strong>in</strong>strumentation<br />

In the portable monitor<strong>in</strong>g devices field, <strong>CSEM</strong> has developed<br />

and delivered to the European Space Agency (ESA) a first<br />

prototype of a system that enables cont<strong>in</strong>uous monitor<strong>in</strong>g of<br />

physiological parameters. The device is aimed at study<strong>in</strong>g the<br />

adaptation of manned crews to extreme environments. It will<br />

be worn and tested by ESA personal at the Concordia station<br />

<strong>in</strong> Antarctica. Dur<strong>in</strong>g its validation test, the system proved to<br />

be comfortable to wear for 24 hours. In another application<br />

field and <strong>in</strong> the framework of a European project, <strong>CSEM</strong> is<br />

<strong>in</strong>volved <strong>in</strong> the <strong>in</strong>tegration of wearable electronics and sensors<br />

<strong>in</strong> smart garments for help<strong>in</strong>g rescuers dur<strong>in</strong>g operations.<br />

Heterogeneous electronic subsystems have been developed,<br />

which reliably collect, synthesize and transmit the vital data<br />

and <strong>in</strong>formation to a remote station.<br />

A small and lightweight device was also developed by <strong>CSEM</strong><br />

<strong>in</strong> 2008 for the wellness consumer-market. The device<br />

provides the users with a friendly feedback about their daily<br />

physical <strong>activities</strong>, and thus helps those reduc<strong>in</strong>g risk factors<br />

for chronic diseases and ma<strong>in</strong>ta<strong>in</strong><strong>in</strong>g a healthy lifestyle.<br />

The <strong>activities</strong> <strong>in</strong> the communication-systems field were aga<strong>in</strong><br />

focused on the one hand on Wireless Sensor Networks<br />

(WSN) especially for environmental monitor<strong>in</strong>g, and on the<br />

other hand, on the emerg<strong>in</strong>g doma<strong>in</strong> of Body Area Networks<br />

(BAN). <strong>CSEM</strong> has developed a self-organized, multi-hop<br />

network wireless sensor network, based on battery-powered<br />

sensor nodes (WiseNode concept). In the framework of a<br />

European project, this WSN is <strong>in</strong>tegrated <strong>in</strong> an <strong>in</strong>frastructure<br />

for the detection of forest fires and floods.<br />

Another <strong>CSEM</strong> WSN has been deployed on a cliff <strong>in</strong> the Swiss<br />

Alps, subject to rock falls. Monitor<strong>in</strong>g is done by measur<strong>in</strong>g<br />

the relative movement of rocks us<strong>in</strong>g extensometers. The<br />

system has been runn<strong>in</strong>g without human <strong>in</strong>tervention for more<br />

than a year and has confirmed its advantages compared to<br />

wired systems. It was easier to <strong>in</strong>stall and does not suffer from<br />

damage to the wires. The predicted battery life of the sensor<br />

nodes is up to 10 years.<br />

On the BAN side, a significant advance has been made <strong>in</strong> the<br />

realisation of the demonstrator of a FM Ultra Wideband (FM-<br />

UWB) transceiver. FM-UWB is a very promis<strong>in</strong>g solution for<br />

BAN systems because it comb<strong>in</strong>es the low power and high<br />

robustness of the UWB with the low-complexity of FM. In<br />

parallel, a dual-patch antenna has been developed optimised<br />

to UWB high-band systems (bandwidth from 5.5 to 9.7 GHz).<br />

The reconfigurability and the directivity of the antenna, which<br />

uses a RF-MEMS switch, offer <strong>in</strong>terest<strong>in</strong>g potentials for<br />

energy-sav<strong>in</strong>g BAN or WSN.<br />

In the Mechatronics field, <strong>CSEM</strong> has designed a new system<br />

for the Attitude Control of Satellites (ACS). In traditional ACS,<br />

the change <strong>in</strong> orientation of the satellite is performed through<br />

the acceleration of three <strong>in</strong>dependent reaction-wheels, or by<br />

apply<strong>in</strong>g torques on the gimbals hold<strong>in</strong>g three rapidly rotat<strong>in</strong>g<br />

wheels. The proposed new system uses a unique reaction<br />

sphere, magnetically levitated which can be accelerated<br />

around any 3D rotation axis. The torque required for this<br />

acceleration is exported to the satellite and is used to change<br />

its attitude, therefore provid<strong>in</strong>g the required 3D torque. Cost,<br />

footpr<strong>in</strong>t and weight are among the multiple advantages<br />

expected from the new design as compared to traditional<br />

ACS.<br />

71


Micro-Vibration Analysis Setup for MEMS and MOEMS Characterization<br />

J.-M. Mayor, I. Kjelberg, P. Masa, J. Babarowski<br />

A new test station for the measurement of the resonance frequencies of micro-structures is presented. The vibrations are detected either along the<br />

optical direction or perpendicular to it. The absolute resonance frequency is measured with accuracy better than 5 ppm (changes to 1 ppm). In<br />

2008, the station will be equipped with a climatic and a vacuum chamber to measure the samples <strong>in</strong> a controlled environment.<br />

Figure 1: The test station with a 100 mm wafer<br />

Measurement of the resonance frequency of test samples with<br />

a high accuracy is required <strong>in</strong> order to ascerta<strong>in</strong> mechanical<br />

properties of the materials used, for <strong>in</strong>stance Young’s<br />

modulus as a function of temperature.<br />

The need to have a reliable and cost-effective system suitable<br />

for operation <strong>in</strong> the clean room production area as quality<br />

control dur<strong>in</strong>g the manufactur<strong>in</strong>g process was recognized.<br />

Based on <strong>CSEM</strong>’s development, the system was built by the<br />

company OCB (CH-Mar<strong>in</strong>) with commercially available<br />

standard mechanical blocks so that any change of the optical<br />

configuration could easily be implemented (see Figure 1).<br />

Particular attention has been given to the mechanical rigidity<br />

of the system. Contrary to usual microscopes, where the f<strong>in</strong>e<br />

adjustment is done by mov<strong>in</strong>g the whole optical system, here<br />

the f<strong>in</strong>e adjustment is performed by a small vertical shift of the<br />

objective only. As a matter of fact, the stability of the<br />

observ<strong>in</strong>g system has been checked and found to be better<br />

than 1 nanometer <strong>in</strong> a one hour observ<strong>in</strong>g time. For this<br />

purpose, the method developed by P. Masa with<strong>in</strong> the frame<br />

of the ENCODER project [1] was used.<br />

Microscope objectives with a magnify<strong>in</strong>g power of 5 x, 10 x<br />

and 20 x and a work<strong>in</strong>g distance of 39 mm also allows the test<br />

of encapsulated microsystems. The work<strong>in</strong>g distance can be<br />

further enhanced with the use of commercially available relay<br />

lenses which permit operation of the measur<strong>in</strong>g equipment<br />

when the test sample is <strong>in</strong> a vacuum or climatic chamber (to<br />

be developed <strong>in</strong> 2008).<br />

The <strong>in</strong>vestigated test structures are expected to have quality<br />

factors well above 1’000 therefore an excitation with amplitude<br />

<strong>in</strong> the nanometer range, obta<strong>in</strong>ed with only 1 V on a PZT<br />

stack actuator, will br<strong>in</strong>g test structures <strong>in</strong>to resonance with<br />

fully detectable movement signals. The frequency response<br />

can be obta<strong>in</strong>ed with a SRL lock-<strong>in</strong>, a HP spectrum analyzer<br />

72<br />

and a rubidium stabilized clock, directly connected to the<br />

station.<br />

The probe laser wavelength is above 650 nm so that the color<br />

render<strong>in</strong>g of the microscope is not affected by the dichroic<br />

beam splitter <strong>in</strong> the observation path of the camera: any<br />

defect of the test sample is clearly recognizable on the<br />

display.<br />

The detection of the movement can be measured either along<br />

the optical direction (perpendicular to the wafer plane) or<br />

perpendicular to it (<strong>in</strong> the wafer plane).<br />

• For the detection of the movement <strong>in</strong> a horizontal plane<br />

the <strong>in</strong>vestigated part must have a sharp edge on which the<br />

laser beam is focused. Any movement of the edge will<br />

change the amount of light reflected or transmitted.<br />

• For the detection along the optical axis a surface of<br />

reasonable optical quality is needed. Then an optical fiber<br />

is put at the image po<strong>in</strong>t of the laser spot. Any deviation <strong>in</strong><br />

height will affect the size of the spot and thus the quantity<br />

of light transmitted <strong>in</strong>to the optical fiber.<br />

With both configurations absolute resonance frequencies of<br />

test samples and of microsystem structures were determ<strong>in</strong>ed<br />

with accuracy better than 5 ppm. Resonance frequency<br />

change (for <strong>in</strong>stance with temperature) can be detected with a<br />

sensitivity down to 1 ppm by measur<strong>in</strong>g the phase difference<br />

between the excitation and the position signal.<br />

Figure 2: Mechanical response of a microstructure after a shock<br />

As the optical signal is a direct position signal the station can<br />

monitor aperiodic movements as well. An example is depicted<br />

<strong>in</strong> Figure 2, where the microsystem was subjected to a shock<br />

by a mechanical impact on the sample holder. Two impacts<br />

correspond<strong>in</strong>g to the mov<strong>in</strong>g part of the sample touch<strong>in</strong>g the<br />

mechanical stop, then com<strong>in</strong>g back to the equilibrium position<br />

with oscillations at its resonance frequency can clearly be<br />

seen. The damp<strong>in</strong>g of the movement can be also determ<strong>in</strong>ed.<br />

The equipment was f<strong>in</strong>anced by the Hans Wilsdorf foundation.<br />

<strong>CSEM</strong> thanks them for their support.<br />

[1] P. Masa, et al., “Encoder – Nanometric Optical Absolute Position<br />

Encoder”, <strong>in</strong> this report, page 14


Cl<strong>in</strong>ical Validation Results of the Long-Term Medical Survey System<br />

O. Chételat, A. O'Hare, P. Pilloud, J-M. Koller, S. Droz, A. Ridolfi, P. Theurillat, P. Renevey, J. Solà I Caros, O. Grossenbacher<br />

The paper describes the cl<strong>in</strong>ical validation of a physiological multi-parameter monitor<strong>in</strong>g system made by <strong>CSEM</strong> for ESA. Results of the validation<br />

showed that the system fulfils its purpose. The real conditions of the validation also h<strong>in</strong>ted at further potential enhancements.<br />

The European Space Agency (ESA) commissioned <strong>CSEM</strong> to<br />

design, build, validate and deliver one fully operational ground<br />

prototype of a system (LTMS2) measur<strong>in</strong>g physiological<br />

parameters. The system was shipped and will be used dur<strong>in</strong>g<br />

2008 at Concordia station (www.concordiastation.com) <strong>in</strong><br />

Antarctica to study the physiological adaptation of manned<br />

crews to remote, isolated and extreme environments. The<br />

underly<strong>in</strong>g long-term objective for ESA is to obta<strong>in</strong> experience<br />

<strong>in</strong> the field which will be used <strong>in</strong> prepar<strong>in</strong>g a possible manned<br />

mission to Mars around the year 2030. This paper describes<br />

the cl<strong>in</strong>ical validation of the system performed <strong>in</strong> December<br />

<strong>2007</strong> on volunteers at the Emergency Care Unit of the<br />

University Hospital of Bern (Inselspital).<br />

LTMS2 is composed of an ‘ambulatory unit’, a ‘stationary unit’<br />

and some accessories, as well as acquisition, process<strong>in</strong>g,<br />

archiv<strong>in</strong>g, and visualization software. The ambulatory unit (see<br />

Figure 1) simultaneously measures − <strong>in</strong> an unobtrusive,<br />

comfortable and modular way − ECG (Electrocardiograph),<br />

respiration, pulse oximetry (at the earlobe or f<strong>in</strong>gertip),<br />

activity/posture, core body temperature (at the armpit or ear<br />

canal) and blood pressure (with a cuff at the arm). The data is<br />

recorded for 24 hours while the subject performs his or her<br />

usual daily tasks. Dur<strong>in</strong>g setup or modification of the<br />

configuration, the signals can also be visualized onl<strong>in</strong>e.<br />

Figure 1: The ‘ambulatory unit’ of the LTMS2 system<br />

The ambulatory unit <strong>in</strong>tegrates commercial sensors with<br />

<strong>CSEM</strong> technology. In particular, the ‘electrode’ shown <strong>in</strong><br />

Figure 2 is actually made of two active dry sta<strong>in</strong>less steel<br />

electrodes measur<strong>in</strong>g ECG and impedance, as well as an<br />

acceleration sensor (used for activity and noise removal). The<br />

data is digitalized <strong>in</strong> the electrode and transmitted to a<br />

centralized data logger.<br />

Figure 2: The ambulatory unit ‘electrode’ compris<strong>in</strong>g analogue and<br />

digital electronics to measure ECG, impedance and activity<br />

The stationary unit measures the body weight and<br />

composition (fat mass, water and muscle mass). All data is<br />

transferred to a laptop where it is processed further to<br />

obta<strong>in</strong>ed secondary signals such as ECG parameters (heart<br />

rate, heart rate variability, ST-segment amplitude and<br />

duration, QT-<strong>in</strong>dex − see Figure 3) or activity classification<br />

(rest<strong>in</strong>g, walk<strong>in</strong>g, runn<strong>in</strong>g, ly<strong>in</strong>g or stand<strong>in</strong>g). All signals are<br />

then transferred to a database for storage where they can be<br />

remotely accessed by a medical doctor (MD). Special<br />

mechanisms ensure that no data can be lost, accidentally<br />

erased or viewed by unauthorized people. The visualization of<br />

the signals is multi-scale and several sessions can be<br />

displayed on the same time axis. Out-of-boundary sections for<br />

any signal are marked and can be quickly accessed.<br />

Figure 3: The different parameters of a typical ECG wave<br />

The LTMS2 system was designed, built and tested accord<strong>in</strong>g<br />

to the European directive #93/42/ECC and follows the relevant<br />

medical standards, especially EN60601-1 and EN60601-2-47<br />

for <strong>CSEM</strong> ECG electronics. However, the system is not CE<br />

marked (even though many of its components are), because<br />

the <strong>in</strong>tended use is for cl<strong>in</strong>ical trials <strong>in</strong> Concordia.<br />

Nevertheless, after hav<strong>in</strong>g obta<strong>in</strong>ed the ethical committee and<br />

Swissmedic authorizations, and fulfilled other requirements of<br />

the norm ISO14155, the system was cl<strong>in</strong>ically validated by<br />

Prof. Dr. MD S. Jakob at Bern hospital.<br />

The pr<strong>in</strong>ciple of the validation was to test the system on a few<br />

healthy volunteers <strong>in</strong> a controlled environment and to compare<br />

73


the signals with a reference to standard hospital devices. In<br />

addition, the cl<strong>in</strong>ical validation was a f<strong>in</strong>al test by a third party<br />

to check that all variables are recorded, downloaded and<br />

displayed as described <strong>in</strong> the user manual, as well as to<br />

medically assess the ECG quality regard<strong>in</strong>g the identification<br />

of the ECG P-, QRS-, and T-waves (see Figure 3).<br />

Figure 4: Typical signals obta<strong>in</strong>ed and visualized by the LTMS2 system (from the cl<strong>in</strong>ical validation)<br />

The validation was performed on three volunteers <strong>in</strong> three<br />

sessions of 18 hours and one of 24 hours. All sessions<br />

comprised ‘normal’ daily <strong>activities</strong> such as office work, walk<strong>in</strong>g<br />

around, jogg<strong>in</strong>g and night sleep<strong>in</strong>g.<br />

The validation clearly proved that the system is comfortable to<br />

wear for 24 hours and can record data for this period, process<br />

the data and visualize a large number of physiological<br />

parameters <strong>in</strong> perfect synchronism with time. The accuracy of<br />

the parameters does not, however, always match the<br />

reference. While the bias was negligible for heart rate, oxygen<br />

saturation and respiratory rate, LTMS2 underestimated<br />

temperature by 1 °C and overestimated systolic and diastolic<br />

blood pressure by roughly 10 mmHg, and mean blood<br />

pressure by approximately 5 mmHg. The relatively bad<br />

accuracy of the blood pressure is surpris<strong>in</strong>g s<strong>in</strong>ce for this<br />

parameter LTMS2 simply provides a digital <strong>in</strong>terface to a<br />

CE-marked commercial device.<br />

Motion artifacts were sometimes a problem for oxygen<br />

saturation measurement. This is easily expla<strong>in</strong>ed though:<br />

dur<strong>in</strong>g the validation, the reference device was placed at the<br />

f<strong>in</strong>gertip whereas the LTMS2 sensor was placed at the<br />

earlobe (less obtrusive but more subject to artifacts). A similar<br />

explanation holds for ECG and related parameters such as<br />

heart rate that are sometimes altered by artifacts. In this case,<br />

one has to take <strong>in</strong>to account that the reference used a threelead<br />

system, while LTMS2 is limited to one lead. Cross<br />

74<br />

Figure 4 shows a typical display of signals measured dur<strong>in</strong>g<br />

the cl<strong>in</strong>ical validation. The ECG P-, QRS-, and T-waves are<br />

clearly identified and the ST, QT, and QRS segment correctly<br />

marked. The figure also shows the heart rate, respiration rate,<br />

ST amplitude and duration, and the QT <strong>in</strong>dex.<br />

check<strong>in</strong>g between leads is therefore impossible <strong>in</strong> LTMS2,<br />

which <strong>in</strong>creases the risk of be<strong>in</strong>g deceived by artifacts.<br />

In LTMS2, the activity signal is picked up at the electrode,<br />

while the reference used a watch-like device. Despite this<br />

location difference, LTMS2 and the reference device showed<br />

similar actigraphs. The activity and posture classification was<br />

<strong>in</strong> accordance with the situations specified by the experiment<br />

protocol (no reference was available for these parameters).<br />

In conclusion, the LTMS2 system has been proven<br />

operational <strong>in</strong> real conditions. Tak<strong>in</strong>g <strong>in</strong>to account the<br />

differences between the location and technology used for the<br />

measur<strong>in</strong>g of the physiological parameters, the LTMS2<br />

system accuracy has been validated. However, a future<br />

enhanced version of the LTMS2 prototype should <strong>in</strong>clude<br />

additional means to automatically detect and reject more<br />

artifacts. Other foreseen improvements <strong>in</strong>clude more<br />

<strong>in</strong>tegration and accuracy, <strong>in</strong> particular for core body<br />

temperature, oxygen saturation and blood pressure. <strong>CSEM</strong> is<br />

already conduct<strong>in</strong>g <strong>research</strong> projects on these challeng<strong>in</strong>g<br />

topics. Many subsystems of LTMS2 − released from some of<br />

the str<strong>in</strong>gent requirements of the ESA needs − can be readily<br />

converted to commercial applications <strong>in</strong> several doma<strong>in</strong>s,<br />

<strong>in</strong>clud<strong>in</strong>g physiological monitor<strong>in</strong>g <strong>in</strong> sport and high-altitude<br />

<strong>activities</strong>, <strong>in</strong> firefight<strong>in</strong>g and life-threaten<strong>in</strong>g situations, <strong>in</strong> home<br />

care and telemedic<strong>in</strong>e, or <strong>in</strong> physiological studies.


ActiSmile – A Portable Biofeedback Device on Physical Activity<br />

B. Gros, J. Solà I Caros, P. Theurillat, J. Krauss, U. Mäder • , H. Buchholz ••<br />

Together with the Swiss Federal Office for Sports (BASPO) and the company ActiSmile SA <strong>CSEM</strong> has designed and developed a portable<br />

biofeedback device to cont<strong>in</strong>uously monitor the physical activity of its user. The key technology resides <strong>in</strong> sophisticated signal process<strong>in</strong>g methods<br />

for multi-axis accelerometer sensor systems, <strong>in</strong>clud<strong>in</strong>g modern feature extraction, classification algorithms and low-power implementation on a realtime<br />

platform.<br />

Motion is an important modulator of vital human organic<br />

functions such as respiration, heart cycle, blood oxygen<br />

saturation. Physical activity is viewed as an important<br />

component of a healthy lifestyle and the relationship between<br />

physical activity and several known risk factors for chronic<br />

diseases are well-known. Important <strong>in</strong>formation about human<br />

health is expressed <strong>in</strong> human motion dur<strong>in</strong>g day and night,<br />

such as the daily relative percentages of walk<strong>in</strong>g or runn<strong>in</strong>g<br />

with respect to rest<strong>in</strong>g. These relative percentages can be<br />

used as <strong>in</strong>dicators of potential psychological disorders or<br />

physiological pathologies. Accelerometry-based activity<br />

monitors are widely used to capture objective <strong>in</strong>formation on<br />

patterns and levels of physical activity. Although data<br />

collection is relatively easy, data reduction and data<br />

process<strong>in</strong>g are challeng<strong>in</strong>g topics.<br />

The company ActiSmile SA has mandated <strong>CSEM</strong> to design,<br />

develop and implement a portable biofeedback device to<br />

cont<strong>in</strong>uously monitor and promote the physical activity of its<br />

user. The classification approach relies on the signals of a<br />

multi-axis acceleration sensor system which are projected to<br />

the breast vertical axis of the subject. Feature extraction is the<br />

essential part of the process<strong>in</strong>g prior to any classification task.<br />

Features depend on the signals and the choice of a pert<strong>in</strong>ent<br />

small size of feature set improves the <strong>in</strong>tersubject<br />

classification accuracy. The design of the feature space <strong>in</strong> the<br />

classification mach<strong>in</strong>e takes <strong>in</strong>to account the f<strong>in</strong>al desired low<br />

complexity algorithm <strong>in</strong> order to target a low-power and<br />

portable application on a real-time platform. For this reason,<br />

features derived from frequency or any other eigenfunction<br />

transformed doma<strong>in</strong> has been eluded. An extensive study on<br />

the physiology of human motion has allowed the development<br />

of a new set of proprietary features derived from the temporal<br />

doma<strong>in</strong>. The features are fed to classification stage. Be<strong>in</strong>g<br />

aware that the f<strong>in</strong>al applications may require different<br />

classification resolutions, a decision tree has been chosen as<br />

the most appropriate classification strategy.<br />

The ActiSmile device performs <strong>in</strong> real time the data<br />

acquisition, feature extraction, classification and high-level<br />

<strong>in</strong>terpretation rout<strong>in</strong>e tasks. The output of the process<strong>in</strong>g<br />

stage corresponds to an activity class tag (namely: ly<strong>in</strong>g,<br />

stand<strong>in</strong>g, walk<strong>in</strong>g, runn<strong>in</strong>g), which is calculated every<br />

5 seconds and stored with<strong>in</strong> the FLASH memory of the<br />

portable ActiSmile device. A high-level <strong>in</strong>terpretation algorithm<br />

calculates with the number of stored activity class tags the<br />

user feedback. A SMILEY is fed back and displayed on the<br />

LCD of the ActiSmile device, if the user has performed the<br />

def<strong>in</strong>ed m<strong>in</strong>imal physical activity accord<strong>in</strong>g to the guidel<strong>in</strong>es of<br />

the World Health Organisation (WHO). Figure 1 shows the<br />

portable ActiSmile devices which are worn either with a clip on<br />

the thorax of the user or at the belt, or around the neck with a<br />

lanyard. The ActiSmile device can be recharged via a<br />

standard USB cable.<br />

Figure 1: Portable ActiSmile device with USB version (left) and<br />

wireless version (right).<br />

The transmission of the stored activity class tags to a data<br />

validation software can be performed either via an USB l<strong>in</strong>k or<br />

wireless with a Bluetooth version, as shown <strong>in</strong> Figure 1. The<br />

transmitted data can be validated under a W<strong>in</strong>dows based<br />

data visualization and calibration software. The trends of the<br />

performed physical activity can be visualized on a daily,<br />

weekly and monthly basis as shown <strong>in</strong> the graph of Figure 2.<br />

Moreover, the data validation software provides a calibration<br />

tool to program and <strong>in</strong>dividualize the portable ActiSmile device<br />

with the personal data age, weight, sex and fitness level. The<br />

calculation of the SMILEY feedback is adapted accord<strong>in</strong>gly.<br />

Figure 2: W<strong>in</strong>dows based data visualization software, with the graph<br />

of the performed daily physical activity (x-axis: time; y-axis:<br />

percentage of performed physical activity).<br />

The verification of the correct <strong>in</strong>terpretation of the performed<br />

physical activity with the ActiSmile devices has been validated<br />

by the Swiss Federal Office of Sports. A pre-series of the<br />

ActiSmile product was launched <strong>in</strong> October <strong>2007</strong> and a further<br />

product enhancement is planned dur<strong>in</strong>g 2008.<br />

•<br />

Institute of Sports Sciences, Federal Office of Sports (BASPO),<br />

CH-2532 Maggl<strong>in</strong>gen; (www.baspo.adm<strong>in</strong>.ch)<br />

••<br />

ActiSmile SA, Rathausstrasse CH-6340 Baar;<br />

(www.actismile.ch)<br />

75


Prediction of Neurocardiovascular Events<br />

R. Vetter, N. Virag •<br />

In order to improve acceptability and quality of life related to a medical diagnostic test, <strong>CSEM</strong> developed <strong>in</strong> a jo<strong>in</strong>t collaboration with Medtronic<br />

Europe a system based on computer supported prediction of the test outcome. The results of the retrospective study on 1155 patients are very<br />

promis<strong>in</strong>g and show that if the diagnostic test was stopped at the <strong>in</strong>stant of event prediction only five percent of the patients would have had to<br />

experience the traumatic neuro-cardiovascular event associated with a positive test outcome.<br />

Patients with unexpla<strong>in</strong>ed neuro-cardiovascular disorders<br />

often represent diagnostic dilemmas due to the difficulty to<br />

gather the pre-current signs of significant events. The rarity of<br />

such events br<strong>in</strong>gs about that limited time period monitor<strong>in</strong>g<br />

us<strong>in</strong>g external Holter may be <strong>in</strong>effective and not record the<br />

pre-current signs and cause of the disorders. More advanced<br />

monitor<strong>in</strong>g devices such as implantable loop recorders may<br />

gather the pre-current signs and causes of the disorder but<br />

only if a dedicated automatic event detection exists.<br />

Nevertheless, such devices require <strong>in</strong>vasive procedure and<br />

thus cause <strong>in</strong>creased diagnostic costs. To approach this<br />

problem, diagnostic medic<strong>in</strong>e designed dedicated<br />

experimental protocols which will provoke the specific neurocardiovascular<br />

events through external controlled stimulus<br />

and conditions. The <strong>in</strong>convenience of these approaches<br />

consists <strong>in</strong> the fact that the patient has to experience the<br />

neuro-cardiovascular event dur<strong>in</strong>g the diagnostic assessment.<br />

This is often traumatic and is a reason why some patients<br />

prefer not to undergo such a test.<br />

As an example, tilt table test<strong>in</strong>g is recognized as a standard<br />

test to diagnose vasovagal syncope and establish the neurocardiovascular<br />

dysfunction. The test is illustrated <strong>in</strong> Figure 1.<br />

After 5 m<strong>in</strong>utes sup<strong>in</strong>e rest, the patient is tilted to a 60 degree<br />

head-up position. If symptoms do not develop after 20 m<strong>in</strong> of<br />

tilt, subl<strong>in</strong>gual glyceryl tr<strong>in</strong>itrate is adm<strong>in</strong>istered to further<br />

provoke syncope. The test ends successfully if syncope<br />

develops or is stopped unsuccessfully after 35 m<strong>in</strong>. Thus,<br />

each successful tilt test allow<strong>in</strong>g an establishment of the<br />

neuro-cardiovascular dysfunction and yield<strong>in</strong>g further medical<br />

<strong>in</strong>sights ends with a traumatic fa<strong>in</strong>t<strong>in</strong>g of the patient.<br />

In order to make this test more acceptable to the patient,<br />

shorten the tilt test<strong>in</strong>g experience and decrease the diagnostic<br />

costs, a method which allows an early prediction of a<br />

successful outcome of the tilt test [1] has been developed. The<br />

algorithm exploits the trend of blood pressure, the trend of the<br />

heart rate and an <strong>in</strong>dicator of the autonomic nervous<br />

modulation to cont<strong>in</strong>uously process a cumulative risk of the<br />

positive outcome of the tilt test. The algorithm yields an alert<br />

when a threshold is crossed. This suggests that the tilt table<br />

test will be positive, that syncope will occur <strong>in</strong> very soon and<br />

that the test should be stopped to avoid the traumatic<br />

experience of fa<strong>in</strong>t<strong>in</strong>g for the patient.<br />

The performance of the algorithm was assessed on a large<br />

control database of 1155 patients where tilt table tests were<br />

conducted to their end. The successful outcome of the tilt<br />

table test was predicted <strong>in</strong> 719 of 759 patients (95%) whereas<br />

29 false alarms were generated <strong>in</strong> 396 unsuccessful tilt table<br />

tests. On average the successful outcome was predicted 60<br />

seconds before fa<strong>in</strong>t<strong>in</strong>g leav<strong>in</strong>g sufficient time to stop the<br />

experience before the traumatic outcome.<br />

76<br />

In other words, if the test had been stopped at the alert<br />

processed by the computer, out of 759 patients only<br />

40 patients would have had to experience the traumatic<br />

fa<strong>in</strong>t<strong>in</strong>g experience engendered by vasovagal syncope while<br />

for 719 patients the establishment of the autonomic<br />

dysfunction would have been performed without traumatic<br />

psychological stress.<br />

The proposed system may open a novel area <strong>in</strong> diagnostic<br />

medic<strong>in</strong>e where traumatic events due to systemic dysfunction<br />

would not have to be experienced to further establish and<br />

assess a pathological situation. The cl<strong>in</strong>ical validity of the<br />

proposed application of prediction of vasovagal syncope is<br />

limited due to the retrospective nature of the study. However,<br />

a prospective cl<strong>in</strong>ical study is currently be<strong>in</strong>g designed.<br />

In conclusion, computer supported sens<strong>in</strong>g and process<strong>in</strong>g of<br />

vital signals <strong>in</strong> a diagnostic protocol could <strong>in</strong>crease the<br />

acceptability of the tilt test protocol and improve the patient<br />

quality of life. In medic<strong>in</strong>e there are few parallels for which<br />

standard tests are replaced by mechanisms predict<strong>in</strong>g<br />

outcomes midway through a laboratory study. The present<br />

development concerns a first step <strong>in</strong> such a process.<br />

Figure 1: Illustration of tilt table test setup used <strong>in</strong> medical diagnostic<br />

to establish neuro-cardiovascular dysfunction together with the<br />

developed system predict<strong>in</strong>g the test outcome.<br />

The work was partly funded by the CTI Medtech Initiative and<br />

<strong>CSEM</strong> would like to thank them for their support.<br />

•<br />

Swiss R&D Medtronic Europe<br />

[1] N. Virag, R. Sutton, R. Vetter, T. Markowitz, M. Erickson,<br />

“Prediction of vasovagal syncope from heart rate and blood<br />

pressure trend and variability: Experience <strong>in</strong> 1,155 patients”,<br />

Heart Rhythm, vol. pp. 1377-1382, November <strong>2007</strong>.


Reaction Sphere for Attitude Control<br />

O. Chételat, L. Ross<strong>in</strong>i, I. Kjelberg, S. Droz, L. Giriens, E. Onillon<br />

<strong>CSEM</strong> has developed a new concept for attitude control of satellites, with<strong>in</strong> the frame of an ESA project, associated with Maxon, RUAG and HEVS.<br />

An Attitude Control System (ACS) traditionally needs a<br />

m<strong>in</strong>imum of three reaction wheels. The orientation of the<br />

satellite can be changed by reaction at the acceleration of the<br />

appropriate wheel. Another traditional approach is to use a<br />

control moment gyro consist<strong>in</strong>g of a rapidly rotat<strong>in</strong>g wheel<br />

held by gimbals. Apply<strong>in</strong>g torques on the gimbal jo<strong>in</strong>ts<br />

changes the satellite orientation.<br />

The proposed approach is to use one unique reaction sphere<br />

play<strong>in</strong>g both the role of ‘reaction sphere’ and − as the angular<br />

velocity of the sphere <strong>in</strong>creases − the role of ‘control moment<br />

gyro’. The sphere is held <strong>in</strong> position by magnetic levitation and<br />

can be accelerated about any rotation axis by a 3D motor. The<br />

torque required for this acceleration is exported to the satellite<br />

and is used to change its attitude. Thus, the reaction-gyro<br />

sphere is an actuator able to produce a 3D torque.<br />

The concept of reaction sphere is not new. However, the<br />

limitations of exist<strong>in</strong>g technologies and eng<strong>in</strong>eer<strong>in</strong>g<br />

capabilities have prevented reaction gyro spheres from be<strong>in</strong>g<br />

developed. The difficulty is clearly <strong>in</strong> the 3D motor, the<br />

magnetic bear<strong>in</strong>g, and its comb<strong>in</strong>ation. Recent advances <strong>in</strong><br />

technology and especially <strong>in</strong> high-power Space-qualified<br />

processors give a totally new chance to the concept.<br />

A study has been performed to select the rotor and stator<br />

configurations. A synchronous motor configuration, with an 8<br />

pole permanent rotor and a 20 pole stator has been selected,<br />

as depicted <strong>in</strong> Figure 1.<br />

The number of stator poles corresponds to a regular<br />

distribution of poles on a sphere, without s<strong>in</strong>gularities. The<br />

permanent-magnet motor has been selected for efficiency<br />

reasons, for the reduced complexity of its possible controller<br />

as well as its l<strong>in</strong>earity (bear<strong>in</strong>g and force control can be simply<br />

added). This concept has many advantages compared to<br />

others and an <strong>in</strong>ternational patent application has been filed.<br />

Figure 1: Selected configuration<br />

A Matlab/Simul<strong>in</strong>k model of the Reaction Sphere, based on<br />

the selected concept, has been developed. The model is<br />

based on a state space approach, the <strong>in</strong>put of which be<strong>in</strong>g the<br />

20 coils voltages and the outputs are the components of the<br />

torque vector. This model requires the calculation of the 3D<br />

motor constant (l<strong>in</strong>k<strong>in</strong>g currents to force and current to toque)<br />

as a function of the sphere orientation. This model has been<br />

used to develop a control algorithm, based on PI controllers.<br />

A demonstrator is to be produced. The rotor will have an 89<br />

mm radius and the external radius will be 103 mm.<br />

The demonstrator will be equipped with three position sensors<br />

from uEpsilon, 3 force/torque sensors from Kistler and flux<br />

sensors. The flux sensor will be used to determ<strong>in</strong>e the sphere<br />

orientation.<br />

A custom electronic with 20 coil amplifiers will be developed to<br />

drive the Reaction Sphere, This amplifier also serves as the<br />

<strong>in</strong>terface to a dSpace 1005 board on which the control<br />

algorithm will be implemented.<br />

At the end of the year <strong>2007</strong>, the design was f<strong>in</strong>ished and the<br />

build<strong>in</strong>g of the demonstrator is under progress with<strong>in</strong> the<br />

frame of an ESA project that is to end <strong>in</strong> October 2008.<br />

Figure 2 presents a draw<strong>in</strong>g of the demonstrator be<strong>in</strong>g built,<br />

with all its <strong>in</strong>strumentation around for its validation.<br />

Figure 2: Reaction Sphere demonstrator<br />

77


Cont<strong>in</strong>uous Arterial Blood Pressure Monitor<strong>in</strong>g: Can the Cuff Be Got Rid of?<br />

J. Solà I Caros<br />

A novel family of portable arterial blood pressure monitors is under development based on the multiparametric sens<strong>in</strong>g of the cardiovascular<br />

function. Current status of <strong>research</strong>, <strong>in</strong>itial experimental results and future guidel<strong>in</strong>es are described here.<br />

In cl<strong>in</strong>ical practice, an ever-last<strong>in</strong>g technology <strong>in</strong> the<br />

assessment of arterial blood pressure dom<strong>in</strong>ates the<br />

landscape: the so-called auscultatory technique <strong>in</strong>troduced <strong>in</strong><br />

1905 by Korotkoff: a well tra<strong>in</strong>ed operator places a<br />

stethoscope over a distal artery and <strong>in</strong>terprets the sequence<br />

of sounds that occur while a cuff placed above the artery is<br />

deflated. Although such an approach reta<strong>in</strong>s a secure position<br />

<strong>in</strong> the surgery, the occasional measurements taken <strong>in</strong> this<br />

manner can be unusually high (white coat effect) or low,<br />

lead<strong>in</strong>g to false diagnoses. Consequently some <strong>in</strong>dividuals<br />

may receive unneeded treatment and those actually need<strong>in</strong>g it<br />

can be lulled <strong>in</strong>to a false sense of well-be<strong>in</strong>g hence elevat<strong>in</strong>g<br />

their risk of cardiovascular disease.<br />

Alternatives to the auscultatory technique do exist <strong>in</strong> the field<br />

of ambulatory monitor<strong>in</strong>g of blood pressure: most<br />

epidemiologic <strong>research</strong> studies rely on automatic <strong>in</strong>flation<br />

cuffs placed either over the brachial or radial arteries e.g. the<br />

devices that one might acquire <strong>in</strong> a pharmacy nowadays.<br />

Although relatively accurate, this so-called oscillometric<br />

technique does not match with the philosophy of portable<br />

cont<strong>in</strong>uous monitor<strong>in</strong>g <strong>in</strong> two senses: on the one hand the<br />

measurement periodicity must be commonly set to 30 m<strong>in</strong>utes<br />

because of the discomfort and pa<strong>in</strong> associated with each<br />

<strong>in</strong>flation event, provid<strong>in</strong>g thus only a partial picture of the<br />

blood pressure evolution. On the other hand, each<br />

measurement alters the life-style of the user and thus modifies<br />

the blood pressure profile, especially at night.<br />

However, the need for a cont<strong>in</strong>uous cuff-less blood pressure<br />

monitor is cont<strong>in</strong>uously <strong>in</strong>creas<strong>in</strong>g <strong>in</strong> the fields of e.g.<br />

pharmacology, cl<strong>in</strong>ical practice and sports.<br />

A new family of cuff-less blood pressure monitors based on<br />

the optical and electrical sens<strong>in</strong>g of a set of cardiovascular<br />

parameters is be<strong>in</strong>g explored at <strong>CSEM</strong>. The strategy consists<br />

of non-<strong>in</strong>vasively track<strong>in</strong>g the evolution of those hemodynamic<br />

components that play a role <strong>in</strong> the establishment of the fluidic<br />

pressure <strong>in</strong> the arteries, and from them, obta<strong>in</strong> an <strong>in</strong>direct<br />

blood pressure estimate. From a fluidic perspective, two<br />

hemodynamic components must be considered: the cardiac<br />

output, i.e. the flow of blood from the left ventricle to the<br />

systemic vessels, and the total peripheral resistance i.e. the<br />

resistance, <strong>in</strong> a Poiseuille sense, that the systemic vessels<br />

oppose the cardiac output.<br />

The metrological strategies adapted <strong>in</strong>herit the know-how <strong>in</strong><br />

multiparametric cardiovascular monitor<strong>in</strong>g acquired dur<strong>in</strong>g<br />

earlier <strong>research</strong> at <strong>CSEM</strong>. At the current stage an estimate of<br />

78<br />

cardiac output is be<strong>in</strong>g assessed through the statistical signal<br />

process<strong>in</strong>g of bioimpedance measurements. Bioimpedance<br />

depicts the measurement of the electrical potential differences<br />

that are generated across the thorax of an <strong>in</strong>dividual through<br />

the <strong>in</strong>jection of low power AC currents on the sk<strong>in</strong> surface. For<br />

the assessment of total peripheral resistance, a new approach<br />

based on the probabilistic <strong>in</strong>formation process<strong>in</strong>g of <strong>in</strong>fra-red<br />

tissue absorption and bioimpedance data has been developed<br />

and patented [1] . Both sens<strong>in</strong>g techniques are <strong>in</strong>conspicuous<br />

and imperceptible by the subjects. The approach partly relies<br />

on the measurement of the wavefront propagation velocity of<br />

pulse waves through the arterial tree, the so-called pulse<br />

wave velocity.<br />

Figure 1 shows the results of an <strong>in</strong>-vivo study realized at<br />

<strong>CSEM</strong> labs. Dur<strong>in</strong>g the experiment, the mean arterial blood<br />

pressure of a subject was modified while several vital<br />

parameters were monitored with a reference device and the<br />

<strong>CSEM</strong> prototype. In this particular experiment the novel<br />

approach successfully tracked the variations of mean arterial<br />

blood pressure <strong>in</strong>duced to the subject.<br />

MAP [mmHg]<br />

160<br />

140<br />

120<br />

100<br />

80<br />

60<br />

CO [l/m<strong>in</strong>]<br />

TPR [mmHg.s/mL]<br />

8<br />

6<br />

4<br />

2<br />

1<br />

0<br />

0 5 10<br />

Time [m<strong>in</strong>]<br />

15 20<br />

PORTAPRES<br />

<strong>CSEM</strong><br />

Figure 1: Experimental dynamic cardiovascular responses estimated<br />

dur<strong>in</strong>g a period of 25 m<strong>in</strong>utes by a reference device (PORTAPRES)<br />

and <strong>CSEM</strong> technique.<br />

Return<strong>in</strong>g to the <strong>in</strong>itial question of be<strong>in</strong>g able to achieve<br />

cont<strong>in</strong>uous blood pressure monitor<strong>in</strong>g without the cuff, the<br />

results obta<strong>in</strong>ed by <strong>CSEM</strong> biomedical sens<strong>in</strong>g technology<br />

suggest this goal may be achieveable, at least under certa<strong>in</strong><br />

constra<strong>in</strong>ts. The <strong>research</strong> is still <strong>in</strong> progress.<br />

[1] J. Solà I Caros, “Method for the cont<strong>in</strong>uous non-<strong>in</strong>vasive and<br />

non-obstrusive monitor<strong>in</strong>g of blood pressure”, EP07123934.7,<br />

<strong>2007</strong>


WISE – Wireless Solutions for the Aeronautics Industry<br />

A. Hutter, B. Perr<strong>in</strong>, L. von Allmen, C. Kassapogou Faist<br />

This report is a summary of the work carried out under the European WISE project. This project <strong>in</strong>vestigates wireless solutions for the air <strong>in</strong>dustry.<br />

The focus of this article is on the evaluation and implementation of low-power protocols.<br />

The European WISE project [1] is a <strong>research</strong> activity that is<br />

<strong>in</strong>tended to strengthen the competitiveness of the European<br />

air <strong>in</strong>dustry <strong>in</strong> the wireless doma<strong>in</strong>. As such, the project<br />

<strong>in</strong>vestigates wireless technologies together with autonomous<br />

power<strong>in</strong>g sources for aircraft sens<strong>in</strong>g and monitor<strong>in</strong>g systems.<br />

Industrial partners from the air <strong>in</strong>dustry <strong>in</strong>clude<br />

EUROCOPTER and DASSAULT as well as Messier-Bugatti<br />

and the EADS <strong>research</strong> centre.<br />

With<strong>in</strong> the project consortium <strong>CSEM</strong> is the expert partner for<br />

the follow<strong>in</strong>g two doma<strong>in</strong>s:<br />

• wireless solutions for difficult propagation environments<br />

• low-power implementations for wireless solutions<br />

For the first doma<strong>in</strong>, a magnetic solution for a wireless<br />

oxygen-bottle pressure-readout system has been designed<br />

and implemented. The major difficulty for this application was<br />

to guarantee transmission through metal shield<strong>in</strong>g with only<br />

t<strong>in</strong>y holes. Concern<strong>in</strong>g the second doma<strong>in</strong>, <strong>CSEM</strong> expertise<br />

was required for the wireless replacement of the helicopter<br />

turb<strong>in</strong>e air-<strong>in</strong>take temperature sens<strong>in</strong>g system. The rema<strong>in</strong>der<br />

of this article will focus on the description of this application<br />

and the implementation of the low-power wireless sensor.<br />

Figure 1: Air-<strong>in</strong>take area of helicopter turb<strong>in</strong>e and placement of the<br />

temperature sensor<br />

High precision temperature measurement (0.1° C) of the<br />

<strong>in</strong>com<strong>in</strong>g air stream is required to guarantee optimum and<br />

efficient turb<strong>in</strong>e operation. The current solution uses a PT100<br />

temperature sensor located <strong>in</strong> the head of a rod placed <strong>in</strong>side<br />

the air-<strong>in</strong>take section of the turb<strong>in</strong>e, see also Figure 1. The<br />

read-out electronics is placed <strong>in</strong> the eng<strong>in</strong>e compartment,<br />

where the temperature is relatively high. Due to the potential<br />

temperature variations along the cable, the measurement<br />

error can be higher than the required precision.<br />

The <strong>in</strong>vestigated replacement system foresees a wireless<br />

sensor unit that is located at the bottom of the temperature rod<br />

<strong>in</strong> the eng<strong>in</strong>e compartment. To guarantee autonomous<br />

operation, the sensor is equipped with a magnetic micro-<br />

generator that exploits the vibrations of the helicopter. The<br />

micro-generator should deliver up to 10 mW cont<strong>in</strong>uously,<br />

which results <strong>in</strong> a maximum current constra<strong>in</strong>t of 5 mA when<br />

operat<strong>in</strong>g at 2 V supply voltage.<br />

For the evaluation of the wireless transmission protocol<br />

options, a graphical user <strong>in</strong>terface was developed, see also<br />

Figure 2. This tool allows the rapid visualisation of the impact<br />

of different protocol parameters. For the WISE application, a<br />

beacon-enabled network with a beacon <strong>in</strong>terval of 491 ms was<br />

selected <strong>in</strong> order to guarantee the required delay constra<strong>in</strong>ts.<br />

Figure 2: Graphical user <strong>in</strong>terface to evaluate protocol trade-offs<br />

Initial implementation results show that an average current of<br />

1.7 mA is required for the transmission system, see also<br />

Figure 3. These <strong>in</strong>itial results do not yet <strong>in</strong>clude the power<br />

consumption for the signal acquisition circuit. It is anticipated<br />

that the latter draws a maximum current of 1 mA, which<br />

results <strong>in</strong> an average current of 0.1 mA for 10% duty cycl<strong>in</strong>g<br />

(100 Hz sampl<strong>in</strong>g frequency). Therefore, with an estimated<br />

average current of 1.8 mA, which is equivalent to<br />

3.6 mW@2V, it is expected to meet the power constra<strong>in</strong>t<br />

orig<strong>in</strong>at<strong>in</strong>g from the micro-generator.<br />

Figure 3: Implementation results with signal acquisition emulation<br />

[1] Project web-site: www.wise-project.orghttp://www.wiseproject.org/<br />

79


UWB Antenna with Improved Bandwidth and Spatial Diversity us<strong>in</strong>g RF-MEMS Switches<br />

Q. Xu, L. Petit, J. R. Farserotu<br />

An UWB dual patch antenna with optimized bandwidth from 5.5 to 9.7 GHz was developed. Together with a RF MEMS based switch comb<strong>in</strong><strong>in</strong>g<br />

circuit, the UWB antenna allows construction of a reconfigurable unit that provides multiple-direction radiation.<br />

With<strong>in</strong> the project e-SENSE, <strong>CSEM</strong> has undertaken R&D on<br />

energy efficient FR solutions for Wireless Sensor Network<br />

(WSN). To successfully address the different scenarios and<br />

enhance the overall system performance, a very promis<strong>in</strong>g<br />

option is to add more functionality to the antenna subsystem,<br />

and focus on pattern reconfigurability of the UWB antennas.<br />

The motivations to address radiation pattern reconfigurability<br />

are the follow<strong>in</strong>g:<br />

• Range extension<br />

• Direction Of Arrival (DOA) estimation<br />

• Enhanced coexistence (Multiple users, multipath rejection)<br />

UWB antenna design – a structure of stacked and notched<br />

dual-patch has been adopted to achieve the bandwidth<br />

enhancement. Parameters, <strong>in</strong>clud<strong>in</strong>g the size of the patches,<br />

the slot length, the microstrip feed position, the spacer<br />

thickness, as well as the dimensions of the notches, that<br />

impact the bandwidth performance have been optimized<br />

simultaneously <strong>in</strong> simulations. The enhanced impedance<br />

match<strong>in</strong>g bandwidth rang<strong>in</strong>g from 5.5 GHz to 9.7 GHz has<br />

been achieved and has shown good agreement with<br />

measured results (Figure 1).<br />

80<br />

S11 Mag [dB]<br />

0<br />

-5<br />

-10<br />

-15<br />

-20<br />

-25<br />

-30<br />

Measurement<br />

Theoretical computation<br />

-35<br />

4 5 6 7 8 9 10 11 12<br />

Frequency [GHz]<br />

Figure 1: Measured and simulated impedance bandwidth<br />

RF-MEMS comb<strong>in</strong><strong>in</strong>g circuit – a switch comb<strong>in</strong><strong>in</strong>g circuit has<br />

been developed and is presented <strong>in</strong> Figure 2. RF MEMS for<br />

reconfigurable antennas and beam form<strong>in</strong>g networks (BFN)<br />

exhibit outstand<strong>in</strong>g performances <strong>in</strong> terms of l<strong>in</strong>earity, low<br />

power consumption and RF performances. The comb<strong>in</strong>ation<br />

of several UWB antennas with a RF-MEMS switch based feed<br />

network <strong>in</strong> order to achieve both spatial diversity and powerefficiency<br />

at UWB frequencies has thus been addressed. The<br />

antennas and the switch comb<strong>in</strong><strong>in</strong>g circuit have been<br />

designed, tested and optimized separately. This solution has<br />

more flexibility <strong>in</strong> terms of the ga<strong>in</strong> and the po<strong>in</strong>t<strong>in</strong>g directions.<br />

The circuit by itself consists of three cascaded COTS RF-<br />

MEMS devices as well as associated components (bias<br />

resistance, feed capacitance, charge pump capacitance, logic<br />

circuitry). Because of the particular nature of RF MEMS<br />

devices, which are electrostatic actuated micro<br />

electromechanical (MEMS) structures, the design, assembly<br />

and test of the RF-MEMS based circuit has been undertaken<br />

tak<strong>in</strong>g <strong>in</strong>to account consideration for handl<strong>in</strong>g (Electrostatic<br />

Discharge (ESD) sensitivity) and assembly (ultrasonic<br />

clean<strong>in</strong>g/mechanical vibration sensitivity). The designed RF-<br />

MEMS based switch comb<strong>in</strong><strong>in</strong>g circuit showed very good<br />

isolation between the different antenna ports for higher<br />

diversity efficiency along with very low power consumption.<br />

Measured radiation patterns of the UWB antenna array us<strong>in</strong>g<br />

the RF-MEMS switch comb<strong>in</strong>g circuit are shown on Figure 3.<br />

Figure 2: Left - Switch comb<strong>in</strong><strong>in</strong>g circuit. Right - mounted antennas<br />

270<br />

300<br />

240<br />

330<br />

210<br />

0 [dB]<br />

-10<br />

-20<br />

-30<br />

-40<br />

0<br />

180<br />

30<br />

60<br />

120<br />

150Antenna3-on<br />

Antenna4-on<br />

Figure 3: Achieved two radiation directions us<strong>in</strong>g RF-MEMS<br />

Conclusion - An UWB dual patch antenna with optimized<br />

bandwidth was developed. The enhanced bandwidth from 5.5<br />

to 9.7 GHz covers the frequency band required by<br />

communications us<strong>in</strong>g UWB <strong>in</strong> the high band. The antenna<br />

diversity provid<strong>in</strong>g two beams enabled by a RF-MEMS switch<br />

has been demonstrated. The reconfigurability and the<br />

directivity of the antenna offer <strong>in</strong>terest<strong>in</strong>g potentials for<br />

energy-sav<strong>in</strong>g <strong>in</strong> a simultaneous communication scenario of<br />

WSN.<br />

This work was partly funded by IST project e-SENSE. <strong>CSEM</strong><br />

thanks them for their support.<br />

90


FM-UWB – A Low Data Rate (LDR) UWB Approach with Short Synchronization Time and<br />

Robustness to Interference and Frequency-Selective Multipath<br />

J. F. M. Gerrits, J. R. Farserotu, M. Hübner, J. Ayadi<br />

Constant-envelope FM-UWB is a true LDR (< 100 kbit/s) UWB system. Instantaneous despread<strong>in</strong>g <strong>in</strong> the receiver allows for rapid synchronization.<br />

The robustness to <strong>in</strong>terference and frequency-selective multipath make this system a good choice for robust LDR Body Area Network systems.<br />

Ultra Wideband (UWB) communications are poised to enable<br />

short-range applications, such as remote health monitor<strong>in</strong>g (ehealth)<br />

and home or office automation.<br />

Body Area Networks (BANs) [1] are potential candidates for<br />

UWB s<strong>in</strong>ce the low radiated power of the UWB transmitter<br />

enables low DC power consumption yield<strong>in</strong>g long battery life<br />

and the possibility to use energy scaveng<strong>in</strong>g. Size and cost<br />

constra<strong>in</strong>ts require a low-complexity approach that allows<br />

multiple users shar<strong>in</strong>g the same RF bandwidth, and offers<br />

robustness to <strong>in</strong>terference and frequency-selective multipath<br />

propagation conditions.<br />

Constant-envelope FM-UWB uses double FM: b<strong>in</strong>ary FSK<br />

followed by high modulation <strong>in</strong>dex analog FM implement<strong>in</strong>g<br />

analog spread<strong>in</strong>g. The FM-UWB signal is characterized by a<br />

flat spectrum and steep spectral roll-off.<br />

Due to the <strong>in</strong>stantaneous despread<strong>in</strong>g <strong>in</strong> the receiver,<br />

synchronization time is limited only by the bit synchronizer <strong>in</strong><br />

the FSK demodulator. Figure 1 shows measurement results<br />

taken <strong>in</strong> a 62.5 kbps FM-UWB system operat<strong>in</strong>g at 4 GHz.<br />

Transmission starts at the ris<strong>in</strong>g edge of the TX_ENABLE<br />

signal. On the receiver side, the raw data RXD is available<br />

almost <strong>in</strong>stantaneously, whereas the bit synchronizer circuit<br />

determ<strong>in</strong>es the overall receiver synchronization time. From a<br />

synchronization po<strong>in</strong>t of view, the FM-UWB system behaves<br />

like a narrowband FSK system.<br />

Figure 1: Measured FM-UWB receiver synchronization time.<br />

Interference from <strong>in</strong>-band UWB users benefits from the<br />

receiver process<strong>in</strong>g ga<strong>in</strong> which is equal to the ratio of RF and<br />

subcarrier bandwidth<br />

G<br />

PdB<br />

= 10 log<br />

10<br />

⎛ B<br />

⎜<br />

⎝ B<br />

RF<br />

SUB<br />

⎞<br />

⎟<br />

= 10 log<br />

⎠<br />

10<br />

⎛<br />

⎜<br />

⎝<br />

2Δf<br />

⎞<br />

( ) ⎟ RF<br />

β + 1 R<br />

SUB<br />

In a 100 kbit/s LDR system with a RF bandwidth of 500 MHz a<br />

process<strong>in</strong>g ga<strong>in</strong> of 34 dB is obta<strong>in</strong>ed. As a result a 100 kbps<br />

FM-UWB radio can tolerate a 21 dB stronger FM-UWB<br />

<strong>in</strong>terferer. Simulations have confirmed these values and also<br />

⎠<br />

show that Impulse Radio and MBOFDM <strong>in</strong>terference up to<br />

15 dB stronger than the FM-UWB signal can be dealt with.<br />

FM-UWB signals are robust to frequency-selective<br />

multipath [2] . Figure 2 shows MATLAB simulation results of the<br />

RF sensitivity improvement for 1000 realizations of the IEEE<br />

CM4 (strong non l<strong>in</strong>e-of-sight) channel. The graph <strong>in</strong> the<br />

upper part of the figure shows the RF sensitivity improvement<br />

for each channel realization. The histogram <strong>in</strong> the lower part<br />

of the figure shows the distribution of the receiver sensitivity<br />

improvement. The average and median value both equal 0 dB<br />

mean<strong>in</strong>g that 50% of the strong non l<strong>in</strong>e-of-sight channels<br />

yield a performance improvement. The worst-case sensitivity<br />

degradation is only 2.5 dB.<br />

Straightforward by its pr<strong>in</strong>ciples, FM-UWB constitutes a LDR<br />

UWB communication system highly robust to <strong>in</strong>terferences<br />

and multipath.<br />

Figure 2: Sensitivity improvement <strong>in</strong> CM4 channel.<br />

[1] J. F. M. Gerrits, J. R. Farserotu, "FM-UWB: A Low Complexity<br />

Constant Envelope LDR UWB Communication System", IEEE<br />

P802.15 Work<strong>in</strong>g Group for Wireless Personal Area Networks<br />

(WPANs), July <strong>2007</strong>, San Francisco, California, USA,<br />

IEEE802.15-07-0778-040ban,<br />

http://www.ieee802.org/15/pub/Sgmban.htm<br />

[2] J. F. M. Gerrits, J. R. Farserotu, J.R. Long, "Multipath Behavior<br />

of FM-UWB Signals", Proceed<strong>in</strong>gs of ICUWB<strong>2007</strong>, S<strong>in</strong>gapore,<br />

September <strong>2007</strong><br />

http://www.wise-project.org/<br />

81


A Wireless Sensor Network for Fire and Flood Detection at the Wild and-Urban Interface<br />

C. Kassapoglou Faist, P. Nussbaum<br />

<strong>CSEM</strong> develops a wireless sensor network as part of a sens<strong>in</strong>g and comput<strong>in</strong>g <strong>in</strong>frastructure for the detection and assistance <strong>in</strong> crisis management<br />

dur<strong>in</strong>g natural hazards (forest fires and floods). Vision sensors as well as low-cost, <strong>in</strong>-field sens<strong>in</strong>g elements are <strong>in</strong>tegrated <strong>in</strong> the network.<br />

In spite of all technical progress mank<strong>in</strong>d has achieved,<br />

natural hazards escape its control. Nonetheless, early<br />

detection, comb<strong>in</strong>ed with genu<strong>in</strong>e crisis management are<br />

essential <strong>in</strong> limit<strong>in</strong>g the extent of disaster. The ability to<br />

monitor the evolution of relevant physical quantities<br />

throughout an area is a key element <strong>in</strong> this respect and, <strong>in</strong> the<br />

last few years, wireless sensor network technology has<br />

rendered this task feasible and affordable. <strong>CSEM</strong>, active <strong>in</strong><br />

this field, participates <strong>in</strong> the EU project SCIER, which aims to<br />

improve the design and realization of a sens<strong>in</strong>g and<br />

comput<strong>in</strong>g <strong>in</strong>frastructure for environmental risks, particularly<br />

focus<strong>in</strong>g on forest fires and floods.<br />

The project comb<strong>in</strong>es wireless network technology with data<br />

fusion schemes and environmental models <strong>in</strong> order to provide<br />

an end-to-end system that detects the occurrence of a natural<br />

hazard and supports the authorities dur<strong>in</strong>g <strong>in</strong>tervention. Its<br />

user requirements are tailored on the problematics of the wild<br />

and-urban <strong>in</strong>terface (areas at the border of urban zones,<br />

where isolated properties <strong>in</strong>termix with wild land). SCIER<br />

<strong>in</strong>volves both publicly and privately owned equipment, offer<strong>in</strong>g<br />

to landlords the opportunity to subscribe <strong>in</strong> fast, localized<br />

alerts.<br />

Figure 1: SCIER sens<strong>in</strong>g system<br />

The sens<strong>in</strong>g system is composed of <strong>in</strong>-field as well as out-offield,<br />

vision sensors (Figure 1). They are spread throughout<br />

the monitored area and periodically report measurements that<br />

are collected through a number of access po<strong>in</strong>ts (private or<br />

public) and reach the comput<strong>in</strong>g subsystem. This <strong>in</strong>formation<br />

is subsequently assessed, stored and processed by data<br />

fusion schemes, <strong>in</strong> order to decide whether anyth<strong>in</strong>g is<br />

abnormal. In case of hazard detection, alarms are issued,<br />

both to the public authorities and to local property owners, and<br />

execution of the appropriate environmental models is<br />

triggered, <strong>in</strong> order to predict the evolution of the hazard and<br />

other related risks. Possibly, the density of sensors is<br />

<strong>in</strong>creased through new deployments dur<strong>in</strong>g the crisis. The<br />

environmental models are fed with geographic <strong>in</strong>formation<br />

from the SCIER GIS component and periodically confront their<br />

prediction to actual measurements provided by the sensors.<br />

<strong>CSEM</strong> is responsible for the sens<strong>in</strong>g system. Battery-powered<br />

sensor nodes form a self-organized, multi-hop network. They<br />

are composed of a few sens<strong>in</strong>g elements and a wireless<br />

communication unit, developed at <strong>CSEM</strong> (WiseNodeTM concept) and based on a MSP430 micro-controller and a<br />

82<br />

Chipcon CC1100 radio transceiver. S<strong>in</strong>ce the batteries are to<br />

last for months or even years, the node design follows severe<br />

energy-sav<strong>in</strong>g techniques: multi-hop communication, use of<br />

energy-efficient protocols and <strong>in</strong> particular the <strong>CSEM</strong><br />

WiseMacTM , operation <strong>in</strong> duty cycles with the nodes put <strong>in</strong><br />

sleep mode most of the time. In SCIER, the deployed nodes<br />

are fixed, but the sensor network must support the hot<br />

deployment of new nodes (dur<strong>in</strong>g a crisis, for <strong>in</strong>stance) or the<br />

loss of some of its nodes (which may be a significant piece of<br />

<strong>in</strong>formation).<br />

The <strong>in</strong>-filed sens<strong>in</strong>g elements are relatively cheap, commercial<br />

components. The Davis anemometer is used for w<strong>in</strong>d speed<br />

and direction, the Davis and Technol<strong>in</strong>e WS9004IT ra<strong>in</strong><br />

collectors for ra<strong>in</strong>fall levels, the Sensirion SHT11 for<br />

temperature and relative-humidity measurements. The latter<br />

was assessed at controlled fire experiments that were<br />

conducted with<strong>in</strong> a w<strong>in</strong>d tunnel at MAICh, <strong>in</strong> Greece. The<br />

nodes are packaged <strong>in</strong> watertight, UV-resistant boxes for<br />

protection aga<strong>in</strong>st natural elements, with the sensors fixed<br />

outside the box.<br />

An important <strong>in</strong>novation feature <strong>in</strong> SCIER is the <strong>in</strong>tegration of<br />

vision sensors <strong>in</strong> the wireless sensor network. Vision sensors<br />

are dedicated to monitor the field <strong>in</strong> order to detect an event<br />

and report on this result (a feature). Among the different<br />

possible events, occurrence of smoke by day and flame by<br />

night have been selected (Figure 2). A spatial correspondence<br />

between the detected events and the location will be<br />

implemented to enable data fusion at sensor level.<br />

Figure 2: Vision sensor firmware architecture<br />

Development of the SCIER sens<strong>in</strong>g system is about to be<br />

completed, offer<strong>in</strong>g a low-cost solution for the collection of<br />

spatially distributed <strong>in</strong>formation. In 2008, the SCIER concept<br />

as a whole will be tested at full-scale field trials <strong>in</strong> Portugal,<br />

France, the Czech Republic and Greece.<br />

The project partners are Epsilon International SA (GR),<br />

National and Kapodistrian University of Athens (GR), DHI<br />

Hydro<strong>in</strong>form A.S. (CZ), National Agricultural Research<br />

Foundation (GR), <strong>CSEM</strong> (CH), Group 4 Security Services<br />

(GB), Greek Research and Technology Network (GR), Centre<br />

d'Essais et de Recherche de l'ENtente (FR), TECNOMA S.A.<br />

(ES), Associação para o Desenvolvimento da Aerod<strong>in</strong>âmica<br />

Industrial (PT).


Exploit<strong>in</strong>g Directive Antennas for Wireless Sensor Networks<br />

L. von Allmen, P. Dallemagne, Q. Xu, J. R. Farserotu<br />

<strong>CSEM</strong> identified and characterized a number of applications us<strong>in</strong>g wireless sensor networks that would benefit from directive antennas. The goal is<br />

to reduce the power consumption of the communication system, while preserv<strong>in</strong>g or even improv<strong>in</strong>g the quality of service.<br />

<strong>CSEM</strong> is <strong>in</strong>vestigat<strong>in</strong>g energy sav<strong>in</strong>g techniques us<strong>in</strong>g<br />

directive antennas for wireless communication devices [1] <strong>in</strong><br />

specific applications. The use of directive antennas offers the<br />

potential for improved overall system performance. For<br />

example, one measure of performance is the environmental<br />

impact of the radio-communication system, which may be<br />

reduced by lower<strong>in</strong>g the emissions, which <strong>in</strong> turn translates<br />

<strong>in</strong>to power sav<strong>in</strong>gs, as well as, improved coexistence. Further,<br />

the use of directive antennas offers the potential for reduc<strong>in</strong>g<br />

the overall power consumption of the communication system;<br />

especially, <strong>in</strong> high density networks, where the number of<br />

transmitt<strong>in</strong>g devices can be large. Reduc<strong>in</strong>g the radiated<br />

power also improves spatial re-use, global reduction of the<br />

electro-smog and implicit improvement of the end user privacy<br />

by focus<strong>in</strong>g and segmentation. Ultimately, this relates to the<br />

broaden<strong>in</strong>g of the concept of quality of service by <strong>in</strong>volv<strong>in</strong>g<br />

factors like environmental-friendl<strong>in</strong>ess, compliance to more<br />

str<strong>in</strong>gent standards – related to communication as well as<br />

safety – and operational conditions, or even transparency to<br />

the user.<br />

In radio communication systems, energy may be wasted <strong>in</strong> the<br />

follow<strong>in</strong>g situations, some of which directional antennas can<br />

help mitigate:<br />

Idle listen<strong>in</strong>g − A node is turn<strong>in</strong>g on its radio to listen, but<br />

there is no transmitter <strong>in</strong> the receiv<strong>in</strong>g range. Directional<br />

antennas do not br<strong>in</strong>g a solution to idle listen<strong>in</strong>g. In fact this<br />

could even make the situation worse if there is no way to<br />

change the beam orientation when the antenna is <strong>in</strong>correctly<br />

oriented (po<strong>in</strong>t<strong>in</strong>g to an area without nodes).<br />

“Cry<strong>in</strong>g <strong>in</strong> the wilderness” − A node is send<strong>in</strong>g when there<br />

is no dest<strong>in</strong>ation present. This cannot be solved by smart<br />

antennas.<br />

Overhear<strong>in</strong>g − A node receives a radio signal but the content<br />

is not addressed to it. In this case smart antennas will reduce<br />

overhear<strong>in</strong>g.<br />

Collisions − Smart antennas could offer an improvement <strong>in</strong><br />

the case where collisions occur between traffic from pair-wise<br />

communications; i.e. the traffic between A and B is <strong>in</strong> collision<br />

with the traffic between C and D. With directive antennas, the<br />

benefitt is due to improved spatial usage.<br />

Range − To reach long range requires more energy than<br />

short distance to transmit <strong>in</strong>formation with the same quality. In<br />

this situation, adaptable directive antennas, <strong>in</strong> comb<strong>in</strong>ation<br />

with a suitable MAC protocol, can enhance performance by<br />

concentrat<strong>in</strong>g the energy <strong>in</strong> the direction of the dest<strong>in</strong>ation. As<br />

such, for the same radio energy consumption, radio directional<br />

antennas yield a longer range.<br />

Potential applications that can benefit from us<strong>in</strong>g directional<br />

antennas are (names are taken from the term<strong>in</strong>ology def<strong>in</strong>ed<br />

<strong>in</strong> the IST project e-SENSE):<br />

• Wireless hospital<br />

• Sub-network <strong>in</strong>terconnection<br />

• Store of the future<br />

• Enterta<strong>in</strong>ment<br />

In order to identify applications that would benefit from<br />

directive antennas, the study considered the scenarios (see<br />

Figure°1) elaborated with<strong>in</strong> the e-SENSE project.<br />

Figure 1: Application spaces, Use Cases, Applications and Scenarios<br />

with<strong>in</strong> e-SENSE<br />

Each scenario has been analyzed with regards to the<br />

applicability and potential benefits of us<strong>in</strong>g directional<br />

antennas. The analysis highlighted for <strong>in</strong>stance that scenarios<br />

<strong>in</strong>volv<strong>in</strong>g mobility dur<strong>in</strong>g communication are difficult if not<br />

impossible to benefit from directional antennas. However, the<br />

use of directional and automatically reconfigurable (smart)<br />

antennas would help <strong>in</strong> this regard.<br />

The analysis has also shown that <strong>in</strong> applications where<br />

directional antennas add benefits, the ma<strong>in</strong> benefits expected<br />

are:<br />

• Energy use improvement on both term<strong>in</strong>al and base<br />

• Spatial reuse and system capacity improvement<br />

• Extension of range<br />

Another po<strong>in</strong>t l<strong>in</strong>ked to the use of directional antennas is the<br />

need for dedicated or modified MAC (Medium Access Control)<br />

protocols (i.e., for adaptation and control of directivity). The<br />

study added a review of the state of the art and a classification<br />

of MAC protocols us<strong>in</strong>g directional antennas. This work<br />

classifies the typical MAC protocol algorithms with respect to<br />

the constra<strong>in</strong>ts and specifics brought by directional antennas,<br />

so that the most adequate algorithm can be chosen given the<br />

application properties.<br />

This work was partly funded by IST project e-SENSE. <strong>CSEM</strong><br />

thanks them for their support.<br />

[1] Q. Xu, et al., “UWB Antenna with Improved Bandwidth and<br />

Spatial Diversity us<strong>in</strong>g RF-MEMS Switches”, <strong>in</strong> this report,<br />

page 80<br />

83


A MAC Protocol for UWB-IR Wireless Sensor Networks<br />

J. Rousselot, A. El-Hoiydi, J.-D. Decotignie<br />

WideMac, a novel MAC protocol designed for wireless sensor networks us<strong>in</strong>g ultra wide band impulse radio transceivers, is compared with state of<br />

the art low power protocols. It compares favourably with the best while offer<strong>in</strong>g additional services such as rapid discovery of neighbors and<br />

position<strong>in</strong>g. These features are of high <strong>in</strong>terest for distributed rout<strong>in</strong>g and for mobility enabled networks. Furthermore, contrary to the best known<br />

protocols, it operates without requir<strong>in</strong>g special modulation types.<br />

Wireless sensor networks (WSN) are collections of small<br />

electronic devices which communicate together wirelessly and<br />

are equipped with one or more sensors, whose choice<br />

depends on the application doma<strong>in</strong>. They are often deployed<br />

<strong>in</strong> environmental monitor<strong>in</strong>g scenarios and must be able to run<br />

on battery for months or years. The management of the radio<br />

transceiver performed by the Medium Access Control protocol<br />

has an important impact on power consumption.<br />

Ultra Wide Band Impulse Radio (UWB-IR) is a communication<br />

technique based on a time-doma<strong>in</strong> approach. It offers<br />

robustness to multipath propagation and to multi user<br />

<strong>in</strong>terference and allows accurate rang<strong>in</strong>g. These properties,<br />

associated with ultra low power consumption, make UWB-IR a<br />

good candidate for WSN platforms.<br />

Numerous low power Medium Access Control (MAC)<br />

protocols for WSN have been proposed <strong>in</strong> the last few years.<br />

All attempt to reduce four sources of energy waste: collisions,<br />

overhear<strong>in</strong>g, idle listen<strong>in</strong>g and overhead. Introduc<strong>in</strong>g an UWB-<br />

IR physical layer has an impact on MAC protocol power<br />

consumption and may even make some implementations<br />

unusables. For example, the detection of an ongo<strong>in</strong>g<br />

transmission poses challenges with respect to implementation<br />

with a UWB-IR radio. The best low-power MAC protocols<br />

therefore require a modification of the UWB-IR modulation to<br />

enable effective operation.<br />

Figure 1: WideMac operation<br />

WideMac is a novel MAC protocol designed specifically for<br />

UWB-IR sensor networks. It operates as follows: each node<br />

periodically transmits a beacon message announc<strong>in</strong>g its<br />

presence, and then listens for a brief period of time to detect<br />

any <strong>in</strong>com<strong>in</strong>g transmission. A node with a message to<br />

transmit first listens until it receives the beacon of the<br />

dest<strong>in</strong>ation node, after which it can safely transmit the<br />

message. The next time a message must be exchanged<br />

between the two nodes, the time spent listen<strong>in</strong>g for the<br />

beacon message can be greatly reduced because the<br />

dest<strong>in</strong>ation wake up time will be known. Figure 1 illustrates the<br />

operation pr<strong>in</strong>ciple of WideMac.<br />

WideMac power consumption was compared with state of the<br />

art WSN MAC protocols (WiseMac and optimal preamble<br />

sampl<strong>in</strong>g, SCP-Mac, Crankshaft) us<strong>in</strong>g mathematical models.<br />

The results presented <strong>in</strong> Figure 2 show that WideMac was<br />

able to match the performance of the best known protocols.<br />

84<br />

Remarkably, it is the only one to reach this efficiency without a<br />

modification of the modulation scheme.<br />

Figure 2: WideMac power consumption<br />

WideMac also offers unique additional capabilities such as<br />

rapid discovery of neighbours, rang<strong>in</strong>g and position<strong>in</strong>g. This is<br />

of special <strong>in</strong>terest for distributed networks which require ad<br />

hoc rout<strong>in</strong>g, and for mobility enabled networks such as large<br />

scale environmental monitor<strong>in</strong>g, herd control, vehicles<br />

track<strong>in</strong>g, warehouse <strong>in</strong>ventory or elderly care.


Optimum Operat<strong>in</strong>g Regimes for Wireless Sensor Networks<br />

A. El-Hoiydi, J. Rousselot, J.-D. Decotignie<br />

Wireless sensor networks are used <strong>in</strong> applications that have constra<strong>in</strong>ts <strong>in</strong> terms of metrics such as timel<strong>in</strong>ess, lifetime and reliability. The WSN<br />

designer needs to f<strong>in</strong>d a solution that meets the required objective metrics. Most of the time, applications have sets of objective metric values,<br />

depend<strong>in</strong>g on the operat<strong>in</strong>g regime. This can be exploited to f<strong>in</strong>d a better solution to the needs by trad<strong>in</strong>g one objective value aga<strong>in</strong>st another. This<br />

study explores the potential of tun<strong>in</strong>g <strong>in</strong> a comb<strong>in</strong>ed manner the parameters of protocol stacks to achieve the best performance trade-off.<br />

Although low power operation is often quoted as the ma<strong>in</strong><br />

quality of Wireless Sensor Networks (WSNs), such networks<br />

may also need to satisfy other goals, depend<strong>in</strong>g on the<br />

operat<strong>in</strong>g regime of the applications. For <strong>in</strong>stance, a fire<br />

detection application <strong>in</strong> a Mediterranean country may privilege<br />

battery life <strong>in</strong> the w<strong>in</strong>ter when fires are unlikely. However,<br />

when some humidity and temperature conditions are met, the<br />

same application would place the priority on reactivity or<br />

timel<strong>in</strong>ess with respect to the propagation of the <strong>in</strong>formation.<br />

This study shows the potential of tun<strong>in</strong>g key protocol<br />

parameters <strong>in</strong> order to achieve the best possible compromise<br />

between operationally dependent and potentially divergent<br />

performance metrics. The objective is to optimize the<br />

operations of the WSN at run time.<br />

In WSNs, three key performance metrics have been identified<br />

[1] : timel<strong>in</strong>ess which refers to the time to transport some<br />

<strong>in</strong>formation from its source to its dest<strong>in</strong>ation, reliability which<br />

is the probability that a packet emanat<strong>in</strong>g from a source is<br />

eventually received at its dest<strong>in</strong>ation, and lifetime which is the<br />

duration dur<strong>in</strong>g which the network will operate cont<strong>in</strong>uously<br />

Energy (J)<br />

Latency (ms)<br />

2.5<br />

2<br />

1.5<br />

x 104<br />

3<br />

1<br />

0.5<br />

-10 -5 0<br />

Tx Power<br />

5 10<br />

12<br />

10<br />

8<br />

6<br />

4<br />

2<br />

0<br />

-10 -5 0<br />

Tx Power<br />

5 10<br />

Figure 1: Total required energy and average latency with a vary<strong>in</strong>g<br />

transmit power (CC2420 transceiver)<br />

Emission power is one of the parameters that impact several<br />

communication layers and may be tuned to look for the best<br />

tradeoff between some of the metrics. It is controlled by the<br />

physical layer, <strong>in</strong>fluences the l<strong>in</strong>k layer for <strong>in</strong>stance by<br />

chang<strong>in</strong>g the collision probability and impacts rout<strong>in</strong>g because<br />

the number of direct neighbors and paths will change with the<br />

power. Intuitively, the higher the power the higher the<br />

consumption and the lower the latency (end-to-end delay).<br />

The ma<strong>in</strong> question is whether there is a value of the<br />

transmitted power that leads to a better trade-off between<br />

consumption and latency. Power consumption and latency<br />

have been evaluated by simulation (OMNET++) us<strong>in</strong>g a IEEE<br />

802.15.4 radio (Chipcon CC2420), a contention MAC with<br />

ideal wake-up scheme and routes generated by the Dijsktra<br />

algorithm. The network has 120 nodes distributed over a field<br />

of 300 x 300m. One of the nodes, the s<strong>in</strong>k, collects messages<br />

sent by all other nodes.<br />

Figure 1 shows the total energy required to forward all<br />

generated packets to the s<strong>in</strong>k (upper graph) and the average<br />

end-to-end latency experienced by those packets (lower<br />

graph). Every different random position of the nodes results <strong>in</strong><br />

different blue curves. The red solid l<strong>in</strong>e curves represent the<br />

average over all random positions. From the curves, it is clear<br />

that with the CC2420 chip, there is no trade-off to make (at<br />

least <strong>in</strong> low traffic situation) with the choice of the transmit<br />

power. The maximum output power provides the best results<br />

both <strong>in</strong> terms of consumed energy and <strong>in</strong> terms of latency.<br />

The potential advantage of us<strong>in</strong>g multiple-hops to reduce the<br />

consumed energy is not present with the CC2420 because of<br />

its high base current consumption (8 mA <strong>in</strong> transmit mode and<br />

18 mA <strong>in</strong> receive mode). If this radio had a base current<br />

consumption of 2 mA both <strong>in</strong> receive and transmit mode, the<br />

latency versus energy curve would be as illustrated <strong>in</strong><br />

Figure 2. In this case, latency and energy could be traded.<br />

Latency (ms)<br />

12<br />

10<br />

-10<br />

8<br />

-8<br />

-9<br />

-7<br />

6<br />

-6<br />

-5<br />

4<br />

2<br />

-4<br />

-3<br />

-2<br />

-1<br />

0<br />

1<br />

2<br />

3 4<br />

5<br />

7<br />

6<br />

8910<br />

0<br />

4000 4500 5000 5500 6000 6500<br />

Energy (J)<br />

Figure 2: Latency versus Energy when vary<strong>in</strong>g the transmit power<br />

(hypothetical transceiver)<br />

An additional degree of optimisation <strong>in</strong> WSNs may be reached<br />

by run-time tun<strong>in</strong>g of certa<strong>in</strong> protocol parameters <strong>in</strong> order to<br />

tailor them to the application needs. <strong>CSEM</strong> has shown here<br />

that there is potential tradeoff between reactivity and<br />

consumption by tun<strong>in</strong>g the transmitted power if the base<br />

consumption can be reduced. Other parameters are under<br />

<strong>in</strong>vestigation under the IST WASP project.<br />

[1] IST-034963 WASP Project, Deliverable D4.2,<br />

http://www.wasp-project.org/<br />

85


Wireless Sensor Networks for Monitor<strong>in</strong>g Cliffs <strong>in</strong> the Alps<br />

A. El-Hoiydi, J.-D. Decotignie<br />

In November 2006, <strong>CSEM</strong> <strong>in</strong> collaboration with CREALP and MAD technologies <strong>in</strong>stalled a wireless sensor network to monitor rock movements on<br />

a cliff near Sion. S<strong>in</strong>ce then, it has been runn<strong>in</strong>g without <strong>in</strong>terruption and without battery change. This report describes the sett<strong>in</strong>gs and the<br />

<strong>in</strong>termediate results of this experiment.<br />

Wireless sensor networks f<strong>in</strong>d natural application areas <strong>in</strong> the<br />

doma<strong>in</strong> of environmental sens<strong>in</strong>g. In such networks, sensors<br />

are connected to small battery powered nodes that <strong>in</strong>clude a<br />

CPU and radio transceiver. The <strong>in</strong>formation sensed on the<br />

node is transmitted to a s<strong>in</strong>k node that is often connected to<br />

some <strong>in</strong>frastructure (GSM, LAN, ...). <strong>CSEM</strong>, like many other<br />

<strong>in</strong>stitutes, has deployed such applications for short-term<br />

experiments. In this report, <strong>CSEM</strong> presents results of one of<br />

the first long-term experiments without human <strong>in</strong>tervention.<br />

Figure 1: The Chandol<strong>in</strong>e site with the sensor locations<br />

The selected site is a cliff <strong>in</strong> the vic<strong>in</strong>ity of the city of Sion <strong>in</strong><br />

the Swiss Alps. The cliff is under observation because<br />

numerous rocks have fallen, jeopardiz<strong>in</strong>g an <strong>in</strong>dustrial zone<br />

located at the bottom of the cliff (Figure 1). Monitor<strong>in</strong>g is done<br />

by measur<strong>in</strong>g the relative movement of rocks us<strong>in</strong>g<br />

extensometers.<br />

Figure 2: An open sensor node with the extensometer<br />

The site was orig<strong>in</strong>ally equipped with extensometers wired to<br />

a central monitor<strong>in</strong>g station at the top of the cliff. For security<br />

86<br />

reasons, the exist<strong>in</strong>g monitor<strong>in</strong>g <strong>in</strong>stallation was ma<strong>in</strong>ta<strong>in</strong>ed<br />

dur<strong>in</strong>g the test phase. A new set of 3 extensometers has been<br />

<strong>in</strong>stalled. Each accelerometer is connected to a wireless<br />

sensor network node (Figure 2). Due to the propagation<br />

conditions, an additional node is used as a relay between the<br />

sensors and the s<strong>in</strong>k node that collects all the measurements.<br />

Each sensor node is built around the Xemics XE88LC05<br />

processor and a radio module based on the XE1203<br />

transceiver operat<strong>in</strong>g <strong>in</strong> the 868 MHz band. The protocol stack<br />

<strong>in</strong>cludes Wisemac, a simple dynamically built rout<strong>in</strong>g protocol<br />

and clock synchronisation. Wisemac is an ultra low power<br />

contention medium access control based on an adaptive<br />

preamble sampl<strong>in</strong>g technique [1] developed at <strong>CSEM</strong> under the<br />

WisenetTM project. Nodes and sensors are powered by a<br />

s<strong>in</strong>gle 20 A.h Li Battery.<br />

Every m<strong>in</strong>ute each sensor node transmits the read<strong>in</strong>g of the<br />

extensometer and the local temperature (used for<br />

compensation) to the s<strong>in</strong>k node (Figure 3). Battery voltage<br />

and other statistics are also sent regularly. Figure 1 shows the<br />

routes (from the nodes to the s<strong>in</strong>k) that were found best by the<br />

rout<strong>in</strong>g algorithm.<br />

Position [mm]<br />

Temperature<br />

Figure 3: Plot of the sensor measurements over a week<br />

The system has been runn<strong>in</strong>g without human <strong>in</strong>tervention for<br />

more than a year. Measurements match those captured by the<br />

pre-exist<strong>in</strong>g <strong>in</strong>stallation. This shows that such a system offers<br />

a viable solution. Compared to wired systems, it is easier to<br />

<strong>in</strong>stall and does not suffer from potential damage to the wires.<br />

The predicted battery life is around 10 years for the sensor<br />

nodes but less for the relay and the s<strong>in</strong>k node. Long term<br />

campaigns <strong>in</strong> isolated locations are possible.<br />

[1] A. El-Hoiydi, et al., “WiseMAC: An Ultra Low Power MAC<br />

Protocol for Multi-hop Wireless Sensor Networks”,<br />

ALGOSENSORS 2004, 18-31


Control Electronics for Bio-Sens<strong>in</strong>g Textiles to Support Health Management<br />

B. Gros, J. Luprano, J.-A. Porchet, R. Rusconi, A. De Sousa, A. Ridolfi, J. Solà I Caros<br />

A versatile portable data acquisition device has been designed <strong>in</strong> the frame of the BIOTEX project <strong>in</strong> order to acquire and process the data of<br />

<strong>in</strong>novative sensors <strong>in</strong>tegrated <strong>in</strong> textile for the measurement of several parameters <strong>in</strong> sweat and blood.<br />

The European <strong>research</strong> project BIOTEX [1] is aimed at the<br />

development of biochemical-sens<strong>in</strong>g techniques for health<br />

monitor<strong>in</strong>g, compatible with the <strong>in</strong>tegration <strong>in</strong>to textiles. For<br />

that purpose several sens<strong>in</strong>g textile patches have been<br />

developed by the project consortium <strong>in</strong> order to monitor<br />

physiological parameters <strong>in</strong> body fluids such as sweat and<br />

blood. To evaluate the correct operation of such sensors,<br />

three ma<strong>in</strong> applications have been selected: (1) metabolic<br />

disorders <strong>in</strong> diabetes, (2) obese children and sports, and (3)<br />

sore monitor<strong>in</strong>g/wound heal<strong>in</strong>g (Table 1).<br />

Applications Sens<strong>in</strong>g method In sweat In<br />

blood/plasma<br />

Sports Optical<br />

spectroscopy<br />

SpO2<br />

Wound Optical<br />

pH<br />

immunosensor<br />

CRP<br />

Sports Optical<br />

colorimetry<br />

pH<br />

Sports<br />

Diabetes<br />

Wound<br />

Impedance Conductivity<br />

Sports<br />

Diabetes<br />

Capacitance Sweat rate<br />

Sports Electrochemical Electrolytes<br />

concentration<br />

Table 1: Sens<strong>in</strong>g methods referenced to targeted applications<br />

One of the ma<strong>in</strong> tasks of <strong>CSEM</strong> <strong>in</strong> the project was the<br />

development of a lightweight portable control electronics<br />

(Figure 1) able to acquire and process all sensor signals for<br />

the different BIOTEX applications.<br />

Figure 1: Portable control unit<br />

The digital section of the portable control unit is built around a<br />

power-efficient ARM7 microprocessor. A removable m<strong>in</strong>iature<br />

memory card (SDcard) stores up to 2 GBytes of data that can<br />

be downloaded to a PC by us<strong>in</strong>g a standard USB card reader.<br />

An <strong>in</strong>tegrated Bluetooth module provides the feature of realtime<br />

data stream<strong>in</strong>g. The user <strong>in</strong>terface of the portable control<br />

unit has been designed <strong>in</strong> order to allow the usage of the<br />

monitor<strong>in</strong>g system by non-technical people. In this sense a<br />

high resolution color LCD is used and together with its layer<br />

sensitive to the pressure of the f<strong>in</strong>gers (touch screen) allows<br />

the user to press the virtual buttons displayed on the screen to<br />

navigate through the menus.<br />

The control unit can be customized to a specific application by<br />

chang<strong>in</strong>g a dedicated sensor board. This makes the unit<br />

highly configurable and reusable as a versatile mobile<br />

platform for other applications with other sensor data.<br />

The unit provides an optical connector for the SpO2 sensor,<br />

also developed at <strong>CSEM</strong>. The SpO2 sensor uses an<br />

<strong>in</strong>novative approach for the photoplethysmographic<br />

measurement at the thorax by us<strong>in</strong>g a set of plastic optical<br />

fibers to capture the light and the signal of which is transmitted<br />

to the remote light sensor, <strong>in</strong>tegrated <strong>in</strong> the control unit. These<br />

fibers are woven us<strong>in</strong>g conventional textile techniques<br />

(Figure 2) and can thus be <strong>in</strong>tegrated <strong>in</strong>to garments.<br />

Figure 2: Woven optical fibers used for the SpO2 sensor<br />

The bio-sensors developed dur<strong>in</strong>g the first two years of the<br />

project have been <strong>in</strong>tegrated <strong>in</strong>to wearable elements and will<br />

be tested on volunteers dur<strong>in</strong>g the last phase of the project.<br />

The BIOTEX project partners are CEA-LETI, Thuasne and<br />

Sofileta <strong>in</strong> France, Smartex, Penelope and University of Pisa<br />

<strong>in</strong> Italy and Dubl<strong>in</strong> City University <strong>in</strong> Ireland.<br />

This work is partly funded by the European Commission.<br />

<strong>CSEM</strong> thanks them for their support and the project partners<br />

for their collaboration.<br />

[1] BIOTEX stands for “Bio-sens<strong>in</strong>g Textile for Health Management”<br />

(http://www.biotex-eu.com)<br />

87


Wearable Systems to Protect Rescuers and Firefighters dur<strong>in</strong>g Operations<br />

J. Luprano, G. Voir<strong>in</strong>, G. Dudnik<br />

After the first 22 months of <strong>activities</strong>, the European project ProeTEX [1] confirms the feasibility of an <strong>in</strong>tegrated and wearable system for Firefighters,<br />

Civil Protection Rescuers and Victims, that will improve safety by monitor<strong>in</strong>g vital signs and environmental signals. The implemented prototypes are<br />

be<strong>in</strong>g submitted to field trials and the results will provide valuable feedback that will contribute to the future design.<br />

In the frame of the European Project PROETEX [1] , <strong>CSEM</strong> <strong>in</strong><br />

collaboration with 22 partners is develop<strong>in</strong>g smart garments<br />

with wearable electronics and sensors for help<strong>in</strong>g rescuers<br />

dur<strong>in</strong>g operations. The project aims to improve the security<br />

and efficiency of rescuers by <strong>in</strong>tegrat<strong>in</strong>g portable sensors and<br />

communication systems <strong>in</strong>to the garments. The cont<strong>in</strong>uous<br />

verification of vital and environmental signs allows the<br />

prediction of extreme situations regard<strong>in</strong>g the health status<br />

and safety of people dur<strong>in</strong>g their <strong>in</strong>terventions thus becom<strong>in</strong>g<br />

a valuable tool <strong>in</strong> the diagnosis of the risks and eventually<br />

sav<strong>in</strong>g their lives.<br />

The ma<strong>in</strong> tasks of <strong>CSEM</strong> are the design of new biosensors [2] ,<br />

the def<strong>in</strong>ition of a network topology for the textile and nontextile<br />

sensors and the conception of low power portable<br />

electronic devices. The portable devices <strong>in</strong>tegrate the<br />

heterogeneous electronic subsystems that collect, synthesize,<br />

and transmit the vital data and <strong>in</strong>formation to a remote station<br />

<strong>in</strong> a reliable way.<br />

This first version of the prototype collects the <strong>in</strong>formation of<br />

the different sensors that are distributed <strong>in</strong> a T-shirt <strong>in</strong> contact<br />

with the body (<strong>in</strong>ner garment) by connect<strong>in</strong>g the analog<br />

signals to a portable module located <strong>in</strong> the outer garment.<br />

Information from sensors located <strong>in</strong> the outer garment are<br />

treated locally and transmitted along the jacket (outer<br />

garment) by the implemented wired network to the portable<br />

module. The latter collects, processes, and synthesizes the<br />

<strong>in</strong>formation. This module is able to transmit wirelessly po<strong>in</strong>t-topo<strong>in</strong>t<br />

at a maximal distance of 30 meters to a computer us<strong>in</strong>g<br />

a standard protocol. The application software allows the<br />

process<strong>in</strong>g of the data onl<strong>in</strong>e, and stores it for further analysis<br />

or historical record. The follow<strong>in</strong>g sensors are currently<br />

<strong>in</strong>tegrated:<br />

• Vital body signs: heart and breath<strong>in</strong>g rate, sk<strong>in</strong><br />

temperature, motion and activity.<br />

• Environment data: external temperature, GPS-based<br />

location.<br />

Figure 1: Ma<strong>in</strong> blocks of the first system prototype<br />

The first prototypes, whose system overview is shown <strong>in</strong><br />

Figure 1, have proven that the project goals are reachable,<br />

without dramatic modification of the end user <strong>in</strong>tervention<br />

rout<strong>in</strong>e. The first trials were carried out by the Italian Civil<br />

Protection (ICP) and the “Brigade des sapeurs-pompiers de<br />

88<br />

Paris (BSSP)” under the lead of the partners <strong>in</strong> charge of this<br />

activity, EUCentre and Smartex. The equipment was tested by<br />

the firefighters <strong>in</strong> two typical situations:<br />

• Obstacle trail reproduc<strong>in</strong>g rout<strong>in</strong>e (and demand<strong>in</strong>g)<br />

gestures of firefighters dur<strong>in</strong>g their <strong>in</strong>terventions<br />

• Fire control <strong>in</strong> tra<strong>in</strong><strong>in</strong>g chamber (Figure 2)<br />

Figure 2: BSSP firefighter wear<strong>in</strong>g ProeTEX garment dur<strong>in</strong>g field<br />

trials <strong>in</strong> St. Denis (Paris – 12 December <strong>2007</strong>)<br />

The next generation of prototypes will be personalized for<br />

each type of application and will add the follow<strong>in</strong>g features:<br />

• Local treatment of the vital signs for further <strong>in</strong>tegration to<br />

the sensor network (e.g. comb<strong>in</strong>ation of heart and<br />

respiration signals) and addition of oximetry and sweat<br />

measurements.<br />

• Integration of environmental <strong>in</strong>formation: e.g. heat flux and<br />

toxic gases.<br />

• Implementation of a Body Area Network (BAN) to l<strong>in</strong>k toxic<br />

gas sensors located <strong>in</strong>side the boots.<br />

• Addition of mid and long range communication with<br />

network<strong>in</strong>g capability.<br />

• Provision of local alarms suitable to harsh environment.<br />

<strong>CSEM</strong> thanks the European Commission for their support and<br />

the project partners for their collaboration.<br />

[1] http://www.proetex.org<br />

[2] http://www.biotex-eu.com


MEMS Based M<strong>in</strong>iature Catheter Probe for Ultrasound Imag<strong>in</strong>g<br />

R. Gentsch, J. Luprano, P. Pilloud<br />

A m<strong>in</strong>iature capacitive micro-mach<strong>in</strong>ed ultrasound transducer (CMUT) has been developed <strong>in</strong> the frame of an EURIMUS project. The first target<br />

application is a 3mm diameter catheter probe for <strong>in</strong>tra-cardiac imag<strong>in</strong>g. A dedicated 64-channel low noise preamplifier was designed by <strong>CSEM</strong> to<br />

compensate the lower sensitivity and achieve optimum imag<strong>in</strong>g performance.<br />

MEMS technology opens excit<strong>in</strong>g perspectives for medical<br />

ultrasound imag<strong>in</strong>g transducers: compared with traditional<br />

piezoelectric transducers (PZT). The silicon MEMS approach<br />

offers potentially lower fabrication costs for volume production,<br />

better reproducibility, improved acoustic radiation pattern and<br />

wider frequency response.<br />

VERMON SA, a French SME company with more than 20<br />

years of experience <strong>in</strong> development and manufactur<strong>in</strong>g of high<br />

performance ultrasonic devices for medical and <strong>in</strong>dustrial<br />

applications, <strong>in</strong>itiated an EURIMUS project called MEMSORS<br />

(Micromach<strong>in</strong>ed Electrostatic Membranes for acoustic<br />

SensORS). The goal of the project is to develop a m<strong>in</strong>iature 3<br />

mm diameter catheter probe for <strong>in</strong>tra-cardiac imag<strong>in</strong>g with 64<br />

elements. Such a small probe already exists <strong>in</strong> the l<strong>in</strong>eup of<br />

VERMON, but based on PZT technology and thus quite<br />

expensive to manufacture. The price of such probes is a<br />

sensitive factor s<strong>in</strong>ce they are s<strong>in</strong>gle use devices. However,<br />

the availability of low-cost CMUT probes would encourage<br />

surgeons to use them more often to help them dur<strong>in</strong>g critical<br />

heart operations.<br />

The project consortium was formed by partners from France,<br />

Germany and Switzerland provid<strong>in</strong>g excellent<br />

complementarities: VERMON (Tours, France), as project<br />

<strong>in</strong>itiator and coord<strong>in</strong>ator, was <strong>in</strong>volved <strong>in</strong> all project phases,<br />

from specification, design and simulations to the <strong>in</strong>tegration<br />

and evaluation of the prototypes. STMicroelectronics (Tours,<br />

France) and MicroFAB (Bremen, Germany) were the two<br />

MEMS foundries process<strong>in</strong>g the CMUT wafers which allowed<br />

two different processes to be tried out. The University of Tours<br />

was <strong>in</strong>volved with two of its laboratories, the “Laboratoire<br />

Ultrasons Signaux Instrumentation” (LUSSI) and the<br />

“Laboratoire de Microélectronique de Puissance” (LMP), both<br />

<strong>in</strong> the design phase and later <strong>in</strong> the detailed characterization<br />

of the CMUT samples. Hybrid SA (Chez-le-Bart, Switzerland)<br />

was <strong>in</strong> charge of the <strong>in</strong>terconnection and packag<strong>in</strong>g issues.<br />

The major challenge was to mount a 64-element CMUT<br />

measur<strong>in</strong>g 2 mm by 14 mm on a dedicated substrate by<br />

provid<strong>in</strong>g a connection to four m<strong>in</strong>iature 18-wire ribbon cables<br />

on the opposite side. Due to the limited size of the probe all<br />

had to fit <strong>in</strong>to a 3 mm diameter catheter.<br />

Figure 1: 64-element CMUT (2 x 14mm 2 ) on <strong>in</strong>terconnect flex carrier<br />

<strong>CSEM</strong>’s task was to design a 64-channel preamplifier module<br />

able to compensate the lower CMUT sensitivity compared to<br />

PZT probes by provid<strong>in</strong>g a 20 dB ga<strong>in</strong> over a 30 MHz<br />

bandwidth. Very low noise level was one of the key<br />

specifications, <strong>in</strong> order to keep the low level echo signals<br />

(sub-millivolt level) as clean as possible. The most demand<strong>in</strong>g<br />

requirement was to keep the preamplifier “transparent” for the<br />

ultrasound imag<strong>in</strong>g equipment normally operat<strong>in</strong>g with PZT<br />

probes. In other words the high voltage pulses sent to the<br />

probe for the acoustic emission, present<strong>in</strong>g amplitudes up to<br />

200 V and rise time <strong>in</strong> the order of 10 ns, had to pass the<br />

amplifier <strong>in</strong> the opposite direction (from output to <strong>in</strong>put) without<br />

damag<strong>in</strong>g the sensitive preamplifier circuit. The overload<br />

recovery time had to be kept very short (< 1 μs) <strong>in</strong> order to<br />

m<strong>in</strong>imize the distance to the first visible echo. Another<br />

particularity of CMUT devices is that they need a DC voltage<br />

bias<strong>in</strong>g (up to 200 V) to get optimum acoustic characteristics,<br />

similar to electrostatic microphones.<br />

Figure 2: 64--channel preamplifier module and catheter probe (left<br />

lower corner: probe tip detail <strong>in</strong> special transparent f<strong>in</strong>ish)<br />

Dur<strong>in</strong>g this 3-year project all “build<strong>in</strong>g blocks” could be<br />

validated: MEMS process<strong>in</strong>g (CMUT design, process<strong>in</strong>g<br />

parameters, membrane stress and coat<strong>in</strong>g for optimal<br />

acoustic performance, through-wafer vias), probe assembly<br />

and <strong>in</strong>terconnection of the 64-element transducer to the<br />

cables and electronic module performance. The successful<br />

<strong>in</strong>tegration of these “build<strong>in</strong>g blocks” prepares the way to an<br />

<strong>in</strong>dustrial product and the imag<strong>in</strong>g tests done with a f<strong>in</strong>al<br />

version of the CMUT transducers <strong>in</strong>deed showed <strong>in</strong>creased<br />

acoustic performance over PZT transducers.<br />

<strong>CSEM</strong> thanks the OFFT / CTI for their f<strong>in</strong>ancial support for the<br />

work done by <strong>CSEM</strong>, the EURIMUS Office for their support to<br />

the overall project and all the project partners for their<br />

valuable contributions. Pictures: courtesy of Hybrid SA and<br />

VERMON SA.<br />

89


.<br />

90


MICROROBOTICS<br />

Christian Bosshard, Philippe Steiert<br />

Figure 1: Roadmap Microrobotics<br />

The <strong>research</strong> <strong>in</strong> Microrobotics at <strong>CSEM</strong> is based on three<br />

Technology Platforms (see Figure 1). A new platform on<br />

sensor <strong>in</strong>tegration will be started <strong>in</strong> 2008.<br />

Development of fast and precise desk-top <strong>in</strong>dustrial<br />

robots for microcomponent assembly<br />

In March <strong>2007</strong> the <strong>CSEM</strong> Robotics team won the first prize of<br />

the Swiss Technology Award with the concept of the Micro<br />

Factory for assembly processes. Based on the PocketDelta<br />

the concept was shown as a live demonstration at the<br />

Hannover Fair <strong>2007</strong> where it was nom<strong>in</strong>ated for the Top Five<br />

of the prestigious Hermes Award for excellent technical<br />

<strong>in</strong>novations.<br />

In order to extend the technology platform for the<br />

MicroFactory to a wider scope of Assembly, the <strong>research</strong><br />

<strong>activities</strong> are focused on the three topics (i) modular software<br />

tools of object oriented robotics (ii) generic image process<strong>in</strong>g<br />

for automation, and (iii) process-driven robotics control. In<br />

<strong>2007</strong>, the exist<strong>in</strong>g platforms were supplemented and the<br />

software framework and the control electronics were adapted<br />

to current <strong>in</strong>dustrial needs.<br />

A large part of the <strong>research</strong> <strong>activities</strong> were carried out with<strong>in</strong><br />

two EU projects. In the project Hydromel a process for the<br />

automatic recognition of the position and orientation of<br />

unordered parts has been developed. In the project Nanohand<br />

algorithms have been developed for the control of a cameraguided<br />

m<strong>in</strong>i robot to handle carbon nanotubes.<br />

Handl<strong>in</strong>g of fluids and of cells <strong>in</strong> fluids by comb<strong>in</strong><strong>in</strong>g<br />

microfluidics & robotics<br />

The Microfluidics & Microhandl<strong>in</strong>g team has developed novel<br />

methods for the handl<strong>in</strong>g of samples and reagents <strong>in</strong> life<br />

sciences. This is achieved through a comb<strong>in</strong>ation of<br />

microfluidics and robotics that allows the sort<strong>in</strong>g and<br />

concentration of small particles (cells, functionalized<br />

microbeads). A further topic is the fabrication of completely<br />

packaged microfluidic systems.<br />

With<strong>in</strong> these <strong>activities</strong> the <strong>in</strong>tegration of sensors and actuators<br />

<strong>in</strong> microfluidic systems played an <strong>in</strong>creas<strong>in</strong>gly important role<br />

throughout last year. The <strong>in</strong>frastructure for the fabrication of<br />

prototypes was extended through a micromill<strong>in</strong>g mach<strong>in</strong>e, a<br />

thermal bond<strong>in</strong>g mach<strong>in</strong>e and a lam<strong>in</strong>ation apparatus. In<br />

addition, the comb<strong>in</strong>ation or precision robotics and<br />

microfluidics has led to the development of a system that<br />

allows the automatic selection, immobilization and collection<br />

of cells for micro<strong>in</strong>jection.<br />

Packag<strong>in</strong>g and <strong>in</strong>terconnect technologies<br />

The Optics & Packag<strong>in</strong>g team has developed customerspecific<br />

<strong>in</strong>tegration solutions from the design phase to the<br />

assembly for products <strong>in</strong> the field of optoelectronics, sens<strong>in</strong>g,<br />

MEMS systems and microelectronics. A special focus was put<br />

on the development of bond<strong>in</strong>g processes (adhesive fix<strong>in</strong>g,<br />

solder<strong>in</strong>g).<br />

The packag<strong>in</strong>g <strong>activities</strong> at <strong>CSEM</strong> were further extended and<br />

Alpnach could establish itself as the center for this doma<strong>in</strong><br />

with<strong>in</strong> <strong>CSEM</strong>. In terms of technology the exist<strong>in</strong>g flip-chip<br />

bond<strong>in</strong>g processes were extended. This now allows the<br />

simultaneous application of electrical contacts to smart Silicon<br />

sensors and leak-tight seal<strong>in</strong>g with respect to liquid and<br />

gases. Typical applications are <strong>in</strong> the area of biodiagnostics<br />

with liquids and the hermetic seal<strong>in</strong>g of MEMS devices.<br />

Integration of discipl<strong>in</strong>es and <strong>in</strong>dustrial relevance<br />

The strength of <strong>CSEM</strong> Microrobotics <strong>research</strong> program<br />

cont<strong>in</strong>ues to be the <strong>in</strong>tegration of the various discipl<strong>in</strong>es<br />

<strong>in</strong>clud<strong>in</strong>g robotics, embedded systems, SW eng<strong>in</strong>eer<strong>in</strong>g,<br />

microfluidics, optics, sens<strong>in</strong>g as well as microsystems<br />

<strong>in</strong>tegration and packag<strong>in</strong>g.<br />

A representative example is the development of a highly<br />

compact laser scanner for dermatologic applications carried<br />

out for the <strong>in</strong>dustrial client Pantec Biosolutions AG which also<br />

led to the nom<strong>in</strong>ation of the Medtech Award <strong>2007</strong>. The<br />

successful implementation required the follow<strong>in</strong>g<br />

competences: actuator driver eng<strong>in</strong>eer<strong>in</strong>g (robotics), digital<br />

signal process<strong>in</strong>g and algorithms, mechanics with micrometer<br />

precision, optics, sens<strong>in</strong>g, electronics.<br />

Research partners<br />

<strong>CSEM</strong> <strong>research</strong> partners <strong>in</strong> the field of Microrobotics are<br />

ETHZ (Eidgenössische Technische Hochschule Zürich), EPFL<br />

(Ecole Polytechnique Fédérale de Lausanne), IMT (Institut de<br />

Microtechnique, Université de Neuchâtel), HSLU (Hochschule<br />

Luzern), and BFH-TI (Berner Fachhochschule Technik und<br />

Informatik, Biel)<br />

Research at <strong>CSEM</strong> Microrobotics Division <strong>in</strong> Alpnach is<br />

supported by the Cantons of Central Switzerland through the<br />

Micro Center Central Switzerland (MCCS).<br />

91


NanoHand – A System for Automated Nano-Handl<strong>in</strong>g – An Integrated EU Project<br />

A. Ste<strong>in</strong>ecker<br />

In the <strong>in</strong>tegrated EU-project NanoHand of FP6 a nano-manipulation platform will be developed that carries out automated nano-handl<strong>in</strong>g of<br />

nanotubes or nanowires. Individual handl<strong>in</strong>g of nano-components will be addressed <strong>in</strong>side or outside of a scann<strong>in</strong>g electron microscope (SEM). It is<br />

targeted to build prototypes for design and test<strong>in</strong>g of future nano-devices. Together with project partners <strong>CSEM</strong> realizes a system for nano-handl<strong>in</strong>g<br />

under a light microscope<br />

Nanotubes and -wires show <strong>in</strong>terest<strong>in</strong>g electrical, chemical<br />

and mechanical properties. Different application doma<strong>in</strong>s<br />

benefit from the use of nanowires, as for the follow<strong>in</strong>g two<br />

examples: (i) Nanotubes attached to scann<strong>in</strong>g tips can<br />

improve their resolution or add chemical prob<strong>in</strong>g sensitivity. (ii)<br />

Nanotubes <strong>in</strong>tegrated <strong>in</strong>to novel nanoelectronic devices could<br />

improve heat dissipation or act as elements <strong>in</strong> transistors.<br />

Handl<strong>in</strong>g and position<strong>in</strong>g of the nanotubes is feasible by<br />

complementary approaches: parallel catalytic growth on predef<strong>in</strong>ed<br />

positions or <strong>in</strong>dividual handl<strong>in</strong>g by s<strong>in</strong>gle pick-andplace<br />

operations. The latter is focus of the presented work.<br />

Individual handl<strong>in</strong>g is essential for build<strong>in</strong>g prototypes of nanodevices,to<br />

achieve a high degree of flexibility and to enable<br />

quality control of devices. Nevertheless the task is<br />

complicated and lacks dedicated <strong>in</strong>struments.<br />

NanoHand is an <strong>in</strong>tegrated European project run under the 6th Framework Programme [1] . It started <strong>in</strong> June 2006 and will end<br />

<strong>in</strong> May 2009. Its goal is to provide exploitable systems for<br />

nanohandl<strong>in</strong>g.<br />

The project is grouped <strong>in</strong>to the follow<strong>in</strong>g sub-projects<br />

• SP 1: Nano-manipulators and technologies (led by <strong>CSEM</strong>)<br />

• SP 2: Applications and <strong>in</strong>dustrialization (led by ST)<br />

• SP 3 and 4: Accompany<strong>in</strong>g measures and management<br />

<strong>CSEM</strong> is lead<strong>in</strong>g SP 1: the development and <strong>in</strong>tegration of<br />

sub-systems for nano-handl<strong>in</strong>g. A close collaboration with<br />

lead<strong>in</strong>g scientific and <strong>in</strong>dustrial partners from Europe has<br />

been established. The sub-systems for nano-manipulation<br />

consist of mobile and fixed piezo robots for precise locomotion<br />

and flexible reconfiguration (EPFL), gripp<strong>in</strong>g and handl<strong>in</strong>g<br />

strategies for reliable manipulation of nanotubes and –wires<br />

(MIC), and vision and control for stable object detection and<br />

task automation (OFFIS, <strong>CSEM</strong>). These components are<br />

<strong>in</strong>tegrated <strong>in</strong>to a set-up that can be operated <strong>in</strong> an SEM or<br />

under a light microscope.<br />

<strong>CSEM</strong> is develop<strong>in</strong>g a microscopic set-up for the automated<br />

handl<strong>in</strong>g of nanowires outside of the SEM (Figure 1).<br />

Nanowires are structures with lateral dimension of up to<br />

several 100 µm that can already be observed us<strong>in</strong>g light<br />

optics. The set-up consists of several piezo robots (cartesian<br />

x-y-z stage, mobile robots that can move and rotate on a<br />

surface, rotat<strong>in</strong>g stage) developed by EPFL. They carry<br />

microgrippers provided by MIC to manipulate nanowires.<br />

<strong>CSEM</strong> has <strong>in</strong>tegrated the various components under a light<br />

microscope (Figure 2). A modular control system has been<br />

developed that will enable automated handl<strong>in</strong>g based on<br />

visual servo<strong>in</strong>g of the robots. In the upcom<strong>in</strong>g project phase<br />

the hard- and software elements will be adapted for<br />

92<br />

<strong>in</strong>tegration <strong>in</strong>to an SEM and enable automated In-SEM<br />

nanohandl<strong>in</strong>g.<br />

10 µm<br />

Figure 1: Silicon nanowires (diameter approx. 200 nm) under optical<br />

microscope (see Figure 1)<br />

Overview<br />

camera<br />

Mobile<br />

Figure 2: Nanomanipulation system under a light microscope<br />

<strong>in</strong>tegrated at <strong>CSEM</strong>. Sub-systems have been provided by EPFL<br />

(mobile nano-robot and rotat<strong>in</strong>g stage) and MIC (gripper and<br />

samples, not visible <strong>in</strong> the picture).<br />

Project partners: <strong>CSEM</strong>, EPFL, EMPA, Eurexcel (GB),<br />

Futuretech (DE), Klocke Nanotechnik (DE), Technical<br />

University Denmark - MIC (DK), Nascatec (DE), OFFIS (DE,<br />

Coord<strong>in</strong>ator), ST Microelectronics (IT), VDI VDE-IT (DE).The<br />

project is funded by the European Commission <strong>in</strong> the 6 th<br />

Framework Programme (FP6-2005-IST-5, contract number<br />

034274) and by the MCCS. Their support is gratefully<br />

acknowledged.<br />

Figure 3: Official NanoHand logo<br />

Microscope<br />

objective<br />

Rotation stage<br />

xyz stage<br />

[1] S. Fatikow, V. Eichhorn, A. Sill, A. Ste<strong>in</strong>ecker, C. Meyer,<br />

L. Occhip<strong>in</strong>ti, S. Fahlbusch, I. Utke, P. Bøggild, J.-M. Breguet,<br />

R. Kaufmann, M. Zadrazil, W. Barth, "NanoHand: micro-nano<br />

system for automatic handl<strong>in</strong>g of nano-objects", International<br />

Symposium on Optomechatronic Technologies (ISOT <strong>2007</strong>),<br />

Lausanne, Switzerland, 8-10 October <strong>2007</strong>


Microfactory – A Flexible Assembly Platform<br />

P. Glocker, R. Wyss, P. Schmid, U. Zb<strong>in</strong>den, J. Taprogge, M. Honegger, A. Ste<strong>in</strong>ecker, G. Gruener, C. Meyer<br />

In the future, small components will be assembled on small mach<strong>in</strong>es. <strong>CSEM</strong> has designed small-sized Delta robots with <strong>in</strong>tegrated controller<br />

hardware and m<strong>in</strong>imized external cabl<strong>in</strong>g and footpr<strong>in</strong>t. The PocketDelta is an ideal, modular, micro-assembly platform for automatic production <strong>in</strong><br />

desktop applications. A demonstration Microfactory with four robots shows great potential for sav<strong>in</strong>g resources <strong>in</strong> m<strong>in</strong>iaturized production systems.<br />

In the past few years <strong>CSEM</strong> has <strong>in</strong>vested <strong>in</strong> the<br />

m<strong>in</strong>iaturization of robot systems based on parallel Delta<br />

k<strong>in</strong>ematics. The result is the PocketDelta [1] , a highly<br />

<strong>in</strong>tegrated robot platform for micro-assembly applications with<br />

up to 4 degrees of freedom (Figure 1). This new tool shows a<br />

high potential for future assembly technologies due to the<br />

follow<strong>in</strong>g specifications:<br />

• High precision: Repeatability < 5 µm<br />

• Short cycle time: up to 3 cycles per second<br />

• Small size: 120 x 120 x 240 mm<br />

Figure 1: The PocketDelta robot<br />

In March <strong>2007</strong>, <strong>CSEM</strong> was bestowed with the First Prize of<br />

the prestigious Swiss Technology Award [2] for the concept of<br />

a m<strong>in</strong>iaturized modular assembly l<strong>in</strong>e (Figures 2 and 3).<br />

Figure 2: <strong>CSEM</strong> receives the First Prize of the Swiss Technology<br />

Award <strong>2007</strong> for its Microfactory concept<br />

At the Hanover Fair <strong>2007</strong>, <strong>CSEM</strong> presented a m<strong>in</strong>iature<br />

assembly l<strong>in</strong>e with four PocketDelta robots (Figures 4 and 5),<br />

demonstrat<strong>in</strong>g assembly of micro-planetary gears with a<br />

hous<strong>in</strong>g diameter of 6 mm. <strong>CSEM</strong> landed with<strong>in</strong> the top-five<br />

f<strong>in</strong>alists of the Hanover-Fair–associated Hermes Award [3] .<br />

Figure 3: Microfactory concept for a desktop assembly l<strong>in</strong>e with 5<br />

PocketDelta robots<br />

Figure 4: Microfactory assembly l<strong>in</strong>e with 4 PocketDeltas presented<br />

at the Hannover Fair <strong>2007</strong><br />

The high position accuracy of the PocketDelta and its short<br />

cycle times br<strong>in</strong>g new economical advantages. Note that the<br />

MicroFactory does not solve exist<strong>in</strong>g assembly problems.<br />

Rather, a new technology platform has been launched for<br />

future low-cost production systems. Products to be<br />

manufactured by this platform should be designed with its<br />

performance <strong>in</strong> m<strong>in</strong>d. One great advantage, though, is the fact<br />

that the same system can be used dur<strong>in</strong>g prototyp<strong>in</strong>g and<br />

production, reduc<strong>in</strong>g development time and risk.<br />

Figure 5: Close-up look of the Microfactory assembly l<strong>in</strong>e<br />

<strong>CSEM</strong> is develop<strong>in</strong>g additional components for the Microfactory,<br />

such as part feeders and <strong>in</strong>tegrated sensors for force<br />

measurement and automatic part location, which will improve<br />

the performance of the Microfactory assembly l<strong>in</strong>e.<br />

[1] S. Perroud, et al., “New pocket and desktop Delta robots with<br />

<strong>in</strong>tegrated controllers”, <strong>CSEM</strong> Scientific and Technical Report<br />

2006, page 80<br />

[2] http://www.swisstechnology-award.ch<br />

[3] http://www.hannovermesse.de/hermesaward_e<br />

93


Isolation and Reversible Immobilization of S<strong>in</strong>gle Cells<br />

S. F. Graf, P. Schmid, H. F. Knapp<br />

An <strong>in</strong>novative system to meet the need of drug <strong>research</strong>ers is under development. The novel approach <strong>in</strong>tegrates new technologies <strong>in</strong>clud<strong>in</strong>g<br />

microrobotics and microfluidics to achieve a high throughput screen<strong>in</strong>g rate which will replace time consum<strong>in</strong>g and costly manual operations used<br />

today. To this end, a multipurpose robotic system called ‘CellBot’ was developed consist<strong>in</strong>g of the high-precision robot ‘µDelta’, the fully automated<br />

<strong>in</strong>verse reflected light microscope ‘iMic’, the tool stage, the fixed work<strong>in</strong>g platform and the “Carousel” for reversible immobiliz<strong>in</strong>g of the cells. By<br />

us<strong>in</strong>g this visual feedback controlled setup an automated isolation and reversible immobilization of s<strong>in</strong>gle Xenopus laevis oocytes could be<br />

demonstrated.<br />

Cell-based assays are set to become the preferred choice of<br />

screen<strong>in</strong>g <strong>in</strong> drug discovery <strong>research</strong>, potentially overtak<strong>in</strong>g<br />

more traditional approaches that <strong>in</strong>clude animal models. New<br />

target screen<strong>in</strong>g often requires the use of cell assays to detect<br />

specific cellular pathways of chemical compounds, therapeutic<br />

prote<strong>in</strong>s, siRNA agents and other structures of <strong>in</strong>terest. Insight<br />

from these assays could help more efficient discovery of<br />

effective drugs, thus sav<strong>in</strong>g time and costs as well as the<br />

need for future secondary screens. The emphasis now for<br />

cell-based assay manufacturers is to develop easy-to-use and<br />

highly sensitive cell systems as an alternative to current<br />

rodent bioassays. High throughput screen<strong>in</strong>g (HTS) us<strong>in</strong>g cellbased<br />

assays will particularly become <strong>in</strong>creas<strong>in</strong>gly needed for<br />

both <strong>in</strong>dustrial and scientific applications. Introduction of DNA,<br />

siRNA, or other substances <strong>in</strong>to cells is one important<br />

micromanipulation technology applied to develop and optimize<br />

various cellular systems, which enables cell systems either to<br />

more closely approximate <strong>in</strong> vivo test<strong>in</strong>g or to become more<br />

competent or more specific for various <strong>in</strong> vitro applications.<br />

However, the pharmaceutical <strong>in</strong>dustry needs a highthroughput,<br />

efficient, and automated system for direct delivery<br />

of substances (<strong>in</strong>clud<strong>in</strong>g compounds, DNA, siRNA and mAbs)<br />

<strong>in</strong>to a large number of cells for HTS use.<br />

To address this need, the development of an automated<br />

micro<strong>in</strong>jection system is <strong>in</strong> process. Micro<strong>in</strong>jection is a<br />

technique where a glass capillary filled with substances is<br />

controlled by a micromanipulator. Cells have to be <strong>in</strong>dividually<br />

immobilized while the capillary with an apex of 0.5 to 10 µm<br />

diameter penetrates the cell and a pressur<strong>in</strong>g device <strong>in</strong>jects<br />

the substances.<br />

Therefore, as a first step, the isolation of s<strong>in</strong>gle Xenopus<br />

laevis oocytes with a follow<strong>in</strong>g reversible immobilization is<br />

demonstrated. The “CellBot” [1] setup is used, consist<strong>in</strong>g of a<br />

high precision robot “µDelta” equipped with a glass capillary<br />

connected to a peristaltic pump, a fully automated <strong>in</strong>verted<br />

light microscope “iMic” and a fixed work<strong>in</strong>g platform with a<br />

Petri dish and a “Carousel” for further cell manipulations<br />

(Figure 1). To start the process, the user has to place a<br />

suspension of Xenopus laevis oocytes <strong>in</strong>to a Petri dish. Via<br />

the user <strong>in</strong>terface the rout<strong>in</strong>e can be started: The iMic scans<br />

the Petri dish (Figure 2) and cells of <strong>in</strong>terest are automatically<br />

identified via a pattern match<strong>in</strong>g software based on a set of<br />

given parameters If a cell is detected, the glass capillary is<br />

automatically guided by vision feedback to pick up the cell and<br />

places it <strong>in</strong>to the carousel. The carousel conta<strong>in</strong>s specially<br />

designed cone structures for immobiliz<strong>in</strong>g the cells, with or<br />

without negative pressure. By rotat<strong>in</strong>g the “carousel” the cell is<br />

moved to the micro<strong>in</strong>jection position, which will be<br />

implemented at a later stage. F<strong>in</strong>ally the carousel can be<br />

94<br />

rotated to two additional positions, where the cells can be<br />

released <strong>in</strong>to a collection or waste conta<strong>in</strong>er, respectively. The<br />

release of the cells is aided by pressure pulses. The collection<br />

conta<strong>in</strong>er can be removed by the user for further process<strong>in</strong>g.<br />

collectio<br />

n<br />

carousel<br />

pipette<br />

petri<br />

Figure 1: Workspace of the CellBot where the micropipette is about<br />

to pick a cell to transfer it to the carousel for immobilization.<br />

Figure 2: A special comb<strong>in</strong>ation of bright– and darkfield illum<strong>in</strong>ation<br />

enables simultaneous detection of several parameters of the<br />

Xenopus laevis oocytes, such as shape, size, and coloration, that will<br />

identify viable cells.<br />

This work was partly funded by the EU (project NMP2-CT-<br />

2006-026622) and the cantons of central Switzerland and the<br />

MCCS (Micro Center Central Switzerland). <strong>CSEM</strong> thanks<br />

them for their support.<br />

[1] T. Stöckli, et al., “High precision robotics for automated cell<br />

handl<strong>in</strong>g“, <strong>CSEM</strong> Scientific and Technical Report 2006, page 83


Bond<strong>in</strong>g of Glass or Silicon Chips with a Self-Seal<strong>in</strong>g Photostructurable Elastomer<br />

J. Auerswald, F. Cardot, P. Niedermann, A. Ibzazene, M. Fretz, N. Schmid, H. F. Knapp<br />

When it comes to the <strong>in</strong>tegration of planar electrodes on glass, quartz, silicon or thermoplastic chips <strong>in</strong>to microfluidic systems, standard bond<strong>in</strong>g<br />

methods like diffusion bond<strong>in</strong>g, anodic bond<strong>in</strong>g, thermo-compression bond<strong>in</strong>g etc. do not work. Surfaces carry<strong>in</strong>g electrodes cannot be sealed with<br />

a stiff material. The challenge is even bigger when two glass chips with fac<strong>in</strong>g electrodes and microfluidic channels <strong>in</strong> between are required by the<br />

application, with an alignment precision down to a few micrometers. Photostructurable polysiloxane could be a solution.<br />

The use of photostructurable polysiloxane comb<strong>in</strong>es two<br />

advantages: Firstly, the good alignment precision of<br />

microfluidic channels made by photolithography. Secondly,<br />

the reliable permanent bond of silicones to glass, even if the<br />

glass chips carry electrodes. Photostructurable polysiloxane is<br />

a material known from ISFET and ChemFET sensor<br />

packag<strong>in</strong>g. There, typical lateral structure dimensions are<br />

several millimeters, sometimes slightly below 1 mm, and are<br />

shaped as simple O-r<strong>in</strong>gs [1, 2] . However, the use of this<br />

material class for microfluidic systems with microfluidic<br />

channel networks conta<strong>in</strong><strong>in</strong>g junctions or <strong>in</strong>tersections at<br />

channel widths well below 1 mm, and electrodes <strong>in</strong> the<br />

channels has not been demonstrated yet. One of the critical<br />

issues is the precise bond<strong>in</strong>g of the cured material to the glass<br />

counter chip which also has electrodes on its surface.<br />

Figure 1: LEFT: Bonded glass chips with fac<strong>in</strong>g top and bottom<br />

planar electrodes, alignment marks and fluidic access holes. The<br />

microfluidic channels are photo-structured <strong>in</strong>to the elastomeric<br />

<strong>in</strong>termediate layer. RIGHT: Bonded chip filled with a colored fluid.<br />

25 μm high microfluidic channels with a width down to 200 μm<br />

(and less), with channel junctions, <strong>in</strong>to photostructured<br />

polysiloxane on a glass substrate with planar electrodes were<br />

structured. It was further achieved to permanently bond the<br />

cured material to counter glass chips with planar electrodes.<br />

The whole microfluidic system was leak tight, even without an<br />

external clamp (Figure 1). The elastomer-based<br />

photolithography and the precise bond<strong>in</strong>g process allow for<br />

alignment accuracies down to a few micrometers.<br />

This high precision comb<strong>in</strong>ed with good seal<strong>in</strong>g provides a<br />

viable alternative to the until now rather unsatisfy<strong>in</strong>g seal<strong>in</strong>g<br />

results obta<strong>in</strong>ed with classic negative tone photoresists such<br />

as SU-8, BCB, or polyimide.<br />

A possible application is shown <strong>in</strong> Figure 2. First,<br />

microelectrodes are fabricated on a bottom glass wafer. Then,<br />

<strong>in</strong> a second mask process, the photostructurable polysiloxane<br />

is deposited and structured. Before dic<strong>in</strong>g, the bottom wafer is<br />

protected by a removable resist layer. After removal of the<br />

protective resist and surface activation, the chips can be<br />

bonded to the diced chips of the top wafer. The top glass<br />

chips also have electrodes and pre-drilled fluidic access holes.<br />

The bond is permanent, due to the applied surface activation.<br />

Fluidic connectors can be glued or tape-bonded above the<br />

fluidic access holes.<br />

Figure 2: CAD explosion model (left) and global view of the bonded<br />

chip with fac<strong>in</strong>g planar top and bottom electrodes, and Luer<br />

connectors (right).<br />

The alignment precision depends on the used bond<strong>in</strong>g<br />

mach<strong>in</strong>e and can be as good as 1-2 micrometers with a<br />

device bonder. The chips sealed well over the entire gage<br />

pressure range up to 28’000 Pa (4 psi), even after the 10th<br />

pressure cycle (Figure 3). This is more than enough for typical<br />

microfluidic applications.<br />

Figure 3: Example of a seal<strong>in</strong>g test. The chips seal well at pump<br />

gage pressures of 30’000 Pa (4 psi), even after the 10 th pressure<br />

cycle. This is more than good enough for typical microfluidic<br />

applications.<br />

Potential applications <strong>in</strong>clude high-end niche markets for labon-chip<br />

systems designed for dielectrophoresis, dielectric<br />

spectroscopy, low voltage AC-EOF pump<strong>in</strong>g and other<br />

microfluidic applications requir<strong>in</strong>g photolithographic<br />

electrodes.<br />

This work was partly funded by the EU (project IST-FP6-<br />

027540, IntegramPLUS). <strong>CSEM</strong> thanks them for their support.<br />

[1] P. Temple-Boyer, J. Launay, I. Humenyuk, T. Do Conto,<br />

A. Mart<strong>in</strong>ez, C. Beriet, A. Grisel, Microelectronics Reliability 44<br />

(2004) 443-447.<br />

[2] P. Arqu<strong>in</strong>t, M. Koudelka-Hep, B.H. van der Schoot, P. van der<br />

Val, N. F. de Rooij, Cl<strong>in</strong>. Chem. 40/9 (1994) 1805-1809.<br />

95


Sensor and Connector Integration <strong>in</strong>to Microfluidic Systems us<strong>in</strong>g Biocompatible Tape<br />

Gaskets<br />

J. Auerswald, H. Haquette • , H. Keppner • , J. Nestler •• , S. Bigot ••• , M.-C. Beckers ∗ , J. Gavillet ∗∗ , G. Delapierre ∗∗ , N. Schmid,<br />

S. Berchtold, E. Portuondo-Campa, S. Graf, H. F. Knapp<br />

The project goal is to develop a thermoplastic microfluidic cartridge with an <strong>in</strong>tegrated glass-based surface plasmon resonance (SPR) sensor for<br />

label-free prote<strong>in</strong> detection. Laser-cut tapes were used for sensor and connector <strong>in</strong>tegration. First prototypes were fabricated and tested <strong>in</strong> a prote<strong>in</strong><br />

demonstration assay. The non-specific b<strong>in</strong>d<strong>in</strong>g behavior of the tapes with respect to antigen, antibodies, prote<strong>in</strong>s, DNA and RNA was <strong>in</strong>vestigated.<br />

Laser-cut tape gaskets allow the <strong>in</strong>tegration of glass or silicon<br />

based sensors <strong>in</strong>to low cost thermoplastic microfluidic<br />

systems [1] . The advantages of this bond<strong>in</strong>g approach are:<br />

• High degree of design flexibility (multi-channel layouts).<br />

• Good bond<strong>in</strong>g to polar (glass, quartz, silicon, ceramics)<br />

and non-polar (thermoplastics) surfaces.<br />

• Presence of bio-molecules on the sensor surface is<br />

possible dur<strong>in</strong>g the bond<strong>in</strong>g process (no heat, UV or<br />

plasma required).<br />

Tape bond<strong>in</strong>g also allows the <strong>in</strong>tegration of fluidic connectors<br />

on the chip and the seal<strong>in</strong>g of channels with cover tape.<br />

Figure 1 shows an assembled prototype and laser-cut tapes.<br />

Figure 1: Left: Prototype with glass-based SPR sensor chip, <strong>in</strong>jection<br />

molded thermoplastic COC microfluidic channels, and fluidic srew<br />

connectors. Right: Laser-cut tapes were used for sensor chip<br />

bond<strong>in</strong>g (bottom tapes), channel seal<strong>in</strong>g (top tapes), and connector<br />

bond<strong>in</strong>g.<br />

The prototypes have successfully been tested <strong>in</strong> prote<strong>in</strong><br />

demonstration assays. In these assays, human pa<strong>in</strong> markers<br />

were detected <strong>in</strong> specific b<strong>in</strong>d<strong>in</strong>g assays on glass chips. The<br />

glass chips, already carry<strong>in</strong>g the probe molecules, were tapebonded<br />

to thermoplastic COC <strong>in</strong>jection molded microfluidic<br />

channels.<br />

Further, a gel actuator was successfully tested as an<br />

alternative to the external pump, used today. This gel<br />

actuator, together with sample, buffer and reference<br />

reservoirs, will be <strong>in</strong>tegrated <strong>in</strong>to the cartridge <strong>in</strong> the future.<br />

Figure 2: PE-CVD coat<strong>in</strong>g of the channel substrates. All channels<br />

were coated hydrophilically, except at the junction area, where a<br />

hydrophobic flow stop was desired. The coated chip was sealed with<br />

an uncoated laser-cut cover tape.<br />

For controlled actuation and flow behavior with the <strong>in</strong>tegrated<br />

gel actuators, the cartridge will also possess hydrophobic flow<br />

stops at the <strong>in</strong>let channel junction area. For this purpose, the<br />

COC cartridge will be treated with PE-CVD coat<strong>in</strong>gs to def<strong>in</strong>e<br />

hydrophilic and hydrophobic channel sections. The wett<strong>in</strong>g<br />

96<br />

angle for aqueous solutions is def<strong>in</strong>ed by the prevail<strong>in</strong>g polar<br />

or non-polar bond character <strong>in</strong> the coat<strong>in</strong>g. First tests<br />

demonstrated the feasibility of the flow stops (Figure 2).<br />

For sensitive prote<strong>in</strong> assays, it is important that the prote<strong>in</strong>s<br />

are delivered to the sensor and not lost due to non-specific<br />

b<strong>in</strong>d<strong>in</strong>g at the microfluidic channel walls. The bond<strong>in</strong>g tapes,<br />

the cover tapes, the COC and the PE-CVD coat<strong>in</strong>gs were<br />

tested for non-specific b<strong>in</strong>d<strong>in</strong>g of antigens, prote<strong>in</strong>s from<br />

serum, biopsy and cell lysate, and antibodies. In addition, the<br />

tapes were also tested for non-specific b<strong>in</strong>d<strong>in</strong>g of DNA and<br />

RNA. Tapes, COC and PE-CVD coat<strong>in</strong>gs showed relatively<br />

low non-specific b<strong>in</strong>d<strong>in</strong>g <strong>in</strong> these tests (Figure 3).<br />

Figure 3: Example of a non-specific b<strong>in</strong>d<strong>in</strong>g test with antigen and<br />

prote<strong>in</strong>s from serum, biopsy and cell lysate. Compared to reference<br />

glass and COC slides, the fluorescence signal <strong>in</strong>dicates low nonspecific<br />

b<strong>in</strong>d<strong>in</strong>g of bio-molecules on a number of tested tapes.<br />

The work was supported by the EU (IST-FP6-016768). SPR<br />

chips were provided by Zeptosens (a division of Bayer AG).<br />

•<br />

HE-ARC, La Chaux-de-Fonds, Switzerland<br />

••<br />

Technische Universität Chemnitz, Germany<br />

•••<br />

Cardiff University, UK<br />

∗<br />

Eurogentec SA, Belgium<br />

∗∗<br />

CEA Grenoble, France<br />

[1] J. Auerswald, et al., Bond<strong>in</strong>g of SPR Sensors on Glass Chips to<br />

Thermoplastic Microfluidic Scaffolds, Proc. Smart Systems<br />

Integration Conference, Paris, March 27-28, <strong>2007</strong>, 153-160.


Pressure Sens<strong>in</strong>g Strip for Rapid Aerodynamic Test<strong>in</strong>g<br />

N. Schmid, M. Fretz, S. Bitterli, T. Burch, L. Neumann, J. Auerswald, H. F. Knapp, S. Graf, C. Bosshard, P. Sollberger • ,<br />

F. Zimmermann • , Z. Stössel • , T. Harvey •• , J. Zhu •• , R. Hamza ∗<br />

A pressure sens<strong>in</strong>g strip is be<strong>in</strong>g developed <strong>in</strong> order to measure pressure profiles for rapid aerodynamic test<strong>in</strong>g. It comb<strong>in</strong>es state of the art<br />

pressure sens<strong>in</strong>g technology with <strong>in</strong>tegrated micro-fluidic pressure signal guidance <strong>in</strong> order to produce a non-<strong>in</strong>trusive pressure distribution<br />

measurement device. A patent is pend<strong>in</strong>g.<br />

Currently low pressure profiles are primarily measured with<br />

pressure transducer arrays: A number of tubes lead from a<br />

pressure transducer array to correspond<strong>in</strong>g measur<strong>in</strong>g taps<br />

on the surface to be measured [1] (Figure 1). Sett<strong>in</strong>g up such a<br />

system is time consum<strong>in</strong>g and costly and on th<strong>in</strong> profiles or<br />

brittle materials it is not even an option (e.g. sails, glass).<br />

Alternatively, non <strong>in</strong>trusive pressure sensitive pa<strong>in</strong>ts can be<br />

utilized, but there is a lack as far as sensitivity, accuracy and<br />

reproducibility is concerned.<br />

Figure 1: Comparison between conventional and <strong>CSEM</strong> PS strip<br />

The pressure sens<strong>in</strong>g strip measures pressure profiles non<strong>in</strong>trusively<br />

without imped<strong>in</strong>g sensitivity. The device can directly<br />

and easily be placed onto the surface to be measured (Figure<br />

2). It comb<strong>in</strong>es state of the art pressure sens<strong>in</strong>g technology<br />

(piezo-resistive sensors) with <strong>in</strong>tegrated microfluidic pressure<br />

signal guidance (Figure 3). A film with <strong>in</strong>tegrated microchannels<br />

guides pressure signals from an arbitrary po<strong>in</strong>t on<br />

the surface to the sensor, which does does not obstruct the<br />

fluid flow at the place of measurement..<br />

Tape with <strong>in</strong>tegrated<br />

micro-channels<br />

Figure 2: Pressure sens<strong>in</strong>g strip<br />

Pressure<br />

sensors<br />

Electrical<br />

connection<br />

Courtesy of BMW-<br />

Pressure sensor<br />

Integrated micro-channel<br />

Figure 3: Pressure sensor on flexible PCB manufactured at Epigem<br />

Wire-less data transmission can be utilized <strong>in</strong> order to further<br />

<strong>in</strong>crease system flexibility such as mount<strong>in</strong>g the entire device<br />

on a rotat<strong>in</strong>g blade (e.g. w<strong>in</strong>d turb<strong>in</strong>e).<br />

Potential markets can particularly be found <strong>in</strong> R&D test<strong>in</strong>g<br />

environments (e.g. w<strong>in</strong>d tunnels) <strong>in</strong> follow<strong>in</strong>g <strong>in</strong>dustries:<br />

• Automotive<br />

• Aerospace<br />

• W<strong>in</strong>d turb<strong>in</strong>es<br />

• Urban goods<br />

• Watercrafts<br />

• HVAC<br />

Table 1: Targeted specs<br />

Pressure range 6000 Pa<br />

Pressure accuracy 30 Pa<br />

Pressure resolution 3 Pa<br />

Strip thickness (at measur<strong>in</strong>g po<strong>in</strong>ts) < 0.8 mm<br />

Strip length 20 to 500 mm<br />

Temperature range 0 to 60 °C<br />

Measur<strong>in</strong>g speed per sensor 300 Hz<br />

This work was supported by the EU, (project IST-FP6-027540,<br />

IntegramPLUS) and the MCCS Micro Center Central<br />

Switzerland. <strong>CSEM</strong> thanks them for their support.<br />

•<br />

Hochschule Luzern Technik & Architektur, Horw<br />

••<br />

Epigem Ltd, Redcar<br />

∗<br />

Yole Développement, Lyon<br />

[1] Race Car Aerodynamics, Joseph Katz<br />

97


Pressure Sens<strong>in</strong>g Strip – Packag<strong>in</strong>g Aspects<br />

M. Fretz, N. Schmid, T. Harvey • , J. Zhu • , A-C. Pliska, C. Bosshard<br />

A face-up bond<strong>in</strong>g process for MEMS devices on flexible pr<strong>in</strong>ts based on silicone rubber was developed. The electrical contacts were provided by<br />

gold wire bonds. The process allows the fabrication of flexible pressure sens<strong>in</strong>g strips specially suited for non destructive measurements <strong>in</strong> R&D<br />

environments like w<strong>in</strong>d tunnels.<br />

Flexible pr<strong>in</strong>ts are widely used <strong>in</strong> (micro-) electronics<br />

applications. High resistivity to temperature makes them as<br />

easy to handle as rigid FR4 boards. In order to extend the<br />

applications of flex pr<strong>in</strong>ts to microfluidics, it is necessary to<br />

develop adequate bond<strong>in</strong>g processes: MEMS devices need to<br />

be mounted on flexible platforms. In this case, the flexible<br />

platform exhibits air channels with two open<strong>in</strong>gs <strong>in</strong> the top<br />

side at each end of the channel. A differential pressure sensor<br />

(silicon die) is placed on one end of the channel, this<br />

measures the pressure variations at the other end [1] .<br />

Figure 1: Die attachment process: A square of silicone rubber is<br />

dispensed on the flex around the channel open<strong>in</strong>g (1). Then the<br />

pressure sens<strong>in</strong>g die is placed above the open<strong>in</strong>g (2). Wire bonds<br />

are done after the cur<strong>in</strong>g of the silicone.<br />

Figure 2: Pressure sens<strong>in</strong>g silicon die attached to a flex pr<strong>in</strong>t with<br />

silicone rubber. Wire bonds from die to flex pads provide electrical<br />

contact.<br />

A standard face-up bond<strong>in</strong>g approach of the sensor with gold<br />

wire bonds provid<strong>in</strong>g electrical contacts was chosen. First, a<br />

RTV (room temperature vulcaniz<strong>in</strong>g) silicone rubber was<br />

dispensed around the open<strong>in</strong>g at one end of the channel (see<br />

Figure 1). It is important to dispense the right amount of<br />

98<br />

1<br />

2<br />

adhesive. If too much is applied, it can be pushed <strong>in</strong>to the<br />

open<strong>in</strong>g dur<strong>in</strong>g die attachment, block<strong>in</strong>g the channel. Too little<br />

adhesive can cause leaks <strong>in</strong> the silicone r<strong>in</strong>g. In both cases,<br />

pressure variations at the other end will not be detected<br />

correctly. Then the sensor die was placed on the silicone<br />

rubber. The press<strong>in</strong>g force is rather low and applied only for a<br />

short time. A few seconds are enough to provide complete<br />

wett<strong>in</strong>g of the sensor die. Higher forces and longer times<br />

<strong>in</strong>crease the probability that the open<strong>in</strong>g will be closed by the<br />

silicone adhesive. The wire bonds were done with a<br />

thermosonic wire bonder after the cur<strong>in</strong>g of the silicone<br />

rubber. It is the critical part of the packag<strong>in</strong>g: The wire bond<strong>in</strong>g<br />

parameters like ultrasonic power, clamp<strong>in</strong>g force, and time<br />

need to be chosen carefully for this special case. Wire<br />

bond<strong>in</strong>g works best if the device is hard and rigid. Here, the<br />

sensor die is hard, but it lies on a silicone ‘pillow’, allow<strong>in</strong>g the<br />

sensor to vibrate dur<strong>in</strong>g wire bond<strong>in</strong>g. Furthermore, the<br />

silicone can give way when the bond<strong>in</strong>g tool pushes down on<br />

the sensor pads. Both reduce the energy transfer from the<br />

wire bond<strong>in</strong>g mach<strong>in</strong>e to bond<strong>in</strong>g <strong>in</strong>terface. Another issue<br />

deserves attention: Thermosonic wire bond<strong>in</strong>g requires heat.<br />

But the pressure sensor should not be heated above 125 °C<br />

for a long period of time. These constra<strong>in</strong>ts have to be<br />

considered when choos<strong>in</strong>g the bond<strong>in</strong>g parameters. On the<br />

flex side, the bond<strong>in</strong>g can be optimized by choos<strong>in</strong>g the<br />

adequate pad metals and thicknesses.<br />

Die attachment and wire bond<strong>in</strong>g are mastered tasks.<br />

Nevertheless, optimization is possible: The silver-f<strong>in</strong>ished<br />

metal pads (see Figure 2) on the flex will be replaced by more<br />

suitable metals.<br />

The work was supported by the EU, (project IST-FP6-027540,<br />

IntegramPLUS). <strong>CSEM</strong> thanks T. Harvey and J. Zhu from<br />

Epigem for the preparation of the flex pr<strong>in</strong>ts.<br />

•<br />

Epigem Limited, Redcar, UK<br />

[1] N. Schmid, et al., “Pressure Sens<strong>in</strong>g Strip for Rapid<br />

Aerodynamic Test<strong>in</strong>g”, <strong>in</strong> this report, page 97


Flip Chip Bond<strong>in</strong>g on Polymers – Die Attach and Leak-Tight Seal<strong>in</strong>g<br />

M. Fretz, T. Harvey • , J. Auerswald, N. Schmid, A-C. Pliska, C. Bosshard<br />

A bond<strong>in</strong>g process for sens<strong>in</strong>g elements on PMMA based platforms or vice versa was developed. A r<strong>in</strong>g of anisotropic conductive adhesive (ACA)<br />

forms a cavity between PMMA die and silicon platform. Seal<strong>in</strong>g tests were carried out. This process is suited for dies too small for a micro-gasket<br />

approach.<br />

As a low cost thermoplastic material, PMMA is specially suited<br />

for microfluidic applications and not only for disposable<br />

devices. Often a sample to be <strong>in</strong>spected must be guided to<br />

the appropriate sensor element through a fluidic channel or<br />

network. Hence, flip chip bond<strong>in</strong>g of the active element on a<br />

PMMA platform is a suitable <strong>in</strong>tegration approach. Two tasks<br />

arise: Flip chip bond<strong>in</strong>g must provide electrical contact, and<br />

the sens<strong>in</strong>g area of the chip must be hermetically closed<br />

aga<strong>in</strong>st the ambient air. Both can be achieved by the use of<br />

anisotropic conductive adhesive (ACA). In this report, the<br />

bond<strong>in</strong>g process of a PMMA die mounted on a silicon platform<br />

with a r<strong>in</strong>g of ACA is described. Electrical connection between<br />

PMMA and silicon was demonstrated before <strong>in</strong> [1] .<br />

2<br />

1<br />

3<br />

ACA r<strong>in</strong>g<br />

Cavity<br />

4<br />

Figure 1: Die attachment process: The gold studs are placed on the<br />

pads of a PMMA die (1) and flattened (2). Then the flipped die is<br />

mounted on the silicon platform (3), on which a r<strong>in</strong>g of anisotropic<br />

conductive adhesive was dispensed. F<strong>in</strong>ally, the cavity is connected<br />

to the seal<strong>in</strong>g test set up (4).<br />

First, two holes were drilled through the ~5 x 5 x 2 mm 3<br />

PMMA dies. Then, a standard wire bonder was used to place<br />

gold studs on the PMMA (see Figure 1). After gold stud<br />

bump<strong>in</strong>g, a r<strong>in</strong>g of ACA was dispensed on the silicon platform,<br />

followed by the attachment of the flipped PMMA die on the<br />

silicon (Figure 2). The attachment step is critical, because<br />

ACA requires heat (m<strong>in</strong>imum 125 °C) and pressure. But<br />

PMMA will warp under load when exposed to temperatures<br />

above ~100 °C (for more details, see [1] ). Tests were carried<br />

out to evaluate the seal<strong>in</strong>g quality of the ACA: Air was<br />

pumped through the cavity which was connected to a dead<br />

end pressure sensor (for more details, see [2] ).The pressure<br />

was <strong>in</strong>creased until it exceeded 1 bar. Then the leakage of the<br />

cavity was measured for ten m<strong>in</strong>utes, as well as the leakage<br />

of the tub<strong>in</strong>g system alone. The result is plotted <strong>in</strong> Figure 3.<br />

No breakdown of the pressure was observed with<strong>in</strong> twenty<br />

m<strong>in</strong>utes. The decrease <strong>in</strong> pressure is due to the tub<strong>in</strong>g and<br />

connectors, as Figure 3 shows. Seal<strong>in</strong>g with ACA can,<br />

therefore, be a suitable approach for microfluidic applications<br />

which require flip chip bond<strong>in</strong>g of small dies.<br />

Metal pads<br />

ACA r<strong>in</strong>g<br />

Drilled holes<br />

Figure 2: 5 x 5 mm 2 PMMA dies mounted on a dummy silicon<br />

platform with anisotropic conductive adhesive.<br />

Figure 3: Leak measurement: The red po<strong>in</strong>ts (-) depict the pressure<br />

evolution <strong>in</strong> the tub<strong>in</strong>g system only. The blue crosses (x) <strong>in</strong>clude both<br />

the device with the cavity and the tub<strong>in</strong>g.<br />

The work was supported by the EU, (project IST-FP6-027540,<br />

IntegramPLUS). <strong>CSEM</strong> thanks T. Harvey from Epigem for the<br />

preparation of the PMMA substrates.<br />

•<br />

Epigem Limited, Redcar, UK<br />

[1] M. Fretz, et al., “Flip Chip Bond<strong>in</strong>g on Polymers: A Die<br />

Attachment Method for Low Tg Materials”, <strong>CSEM</strong> Scientific and<br />

Technical Report 2006, page 88<br />

[2] J. Auerswald, et al., “Bond<strong>in</strong>g of Glass Sensor Chips with Low-<br />

Cost Thermoplastic Microfluidic Scaffolds”, <strong>CSEM</strong> Scientific and<br />

Technical Report 2006, page 87<br />

99


Optical / Fluidic Integration of Silicon-Based Hollow Waveguides<br />

G. Sp<strong>in</strong>ola Durante, J. Auerswald, S. Grossmann, C. Bosshard, M. McNie • , A. S. Wilk<strong>in</strong>son •<br />

The application of micro/nanotechnology <strong>in</strong> emerg<strong>in</strong>g markets, such as biomedical, healthcare and environmental monitor<strong>in</strong>g requires <strong>in</strong>creas<strong>in</strong>gly<br />

complex levels of functional <strong>in</strong>tegration across multiple physical doma<strong>in</strong>s. The ability to have optical functions with<strong>in</strong> the fluid core offers significant<br />

potential for bio-sens<strong>in</strong>g with appropriate detection chemistries. Microfluidic channels are simultaneously formed by bond<strong>in</strong>g glass lids to silicon<br />

substrates conta<strong>in</strong><strong>in</strong>g etched waveguides and through-holes to realize fluidic ports. Specific PDMS bond<strong>in</strong>g is be<strong>in</strong>g developed and tested with a<br />

traditional shear test<strong>in</strong>g method for check<strong>in</strong>g maximum shear strength and pressure drop method for evaluat<strong>in</strong>g fluid-leak tightness. The developed<br />

approach shows good results <strong>in</strong> terms of gage pressure of the seal<strong>in</strong>g r<strong>in</strong>g that can withstand more than 140KPa.<br />

First trials were made to test the adhesive seal<strong>in</strong>g technology<br />

of <strong>in</strong>tegrated hollow waveguides (HWG), but the f<strong>in</strong>al goal is to<br />

prove the <strong>in</strong>novative possibility to <strong>in</strong>tegrate optical waveguides<br />

and micro-fluidic channels on wafer scale. The HWG cap<br />

wafer is realized by bond<strong>in</strong>g a metallized glass wafer on top of<br />

the structured silicon wafer, act<strong>in</strong>g both as a fluid channel<br />

enclosure and as a waveguide metallized wall (Figure 1).<br />

Optical and Microfluidic functions are be<strong>in</strong>g comb<strong>in</strong>ed <strong>in</strong> a<br />

hollow waveguide platform [1] .<br />

Among seal<strong>in</strong>g essential requirements from the application<br />

side are:<br />

• Bio-compatibility <strong>in</strong> terms of temperature if the sample is<br />

already <strong>in</strong>side the channel (silicon chip) and <strong>in</strong> terms of<br />

materials <strong>in</strong> case the biological sample is transported<br />

throughout fluid motion <strong>in</strong> the HWG channels<br />

• HWG bond<strong>in</strong>g profile has to be < 1um to match squareshape<br />

optical requirements: zero profile is needed.<br />

• Seal<strong>in</strong>g r<strong>in</strong>g shear stress resistance<br />

• Quasi-hermetic or « leak-proof » seal<strong>in</strong>g r<strong>in</strong>g<br />

The PDMS on-chip direct dispens<strong>in</strong>g method was selected.<br />

Polymer <strong>in</strong>termediate layer bond<strong>in</strong>g (ILB) proves to be more<br />

flexible not only <strong>in</strong> terms of maximum process<strong>in</strong>g temperature,<br />

but also <strong>in</strong> terms of thickness control of the seal<strong>in</strong>g layer.<br />

~7mm<br />

Figure 1: HWG chips bonded with a PDMS seal<strong>in</strong>g r<strong>in</strong>g<br />

This approach is also promis<strong>in</strong>g at wafer level s<strong>in</strong>ce similar<br />

materials can be sp<strong>in</strong>-coated on a glass wafer and UVpatterned.<br />

The shear tests have shown that for a tuned PDMS<br />

cur<strong>in</strong>g process PDMS can yield a maximum shear force of<br />

~2.5 Kg on ~25 mm 2 area, with a bond<strong>in</strong>g temperature below<br />

90°C. The fluid leak-tightness has been tested fix<strong>in</strong>g two<br />

standard fluidic connectors to the bonded HWG (Figure 2) and<br />

perform<strong>in</strong>g a pressurization of the fluid previously loaded <strong>in</strong><br />

the channel and pipes, through a precision pump.<br />

100<br />

~18mm<br />

HWG<br />

Fluidic Port1 Fluidic Port2<br />

Fluidic<br />

Glass wafer on back<br />

Fluidic Port2<br />

Through-holes on Si<br />

Figure 2: HWG bonded chips with assembled fluidic connectors<br />

The results are encourag<strong>in</strong>g s<strong>in</strong>ce the graph (Figure 3)<br />

<strong>in</strong>dicates a failure of the seal<strong>in</strong>g at gage pressure greater than<br />

140 KPa. This is more than enough for most typical<br />

Microfluidic applications.<br />

Figure 3: Seal<strong>in</strong>g test measurement of HWG sealed chip<br />

This work was supported by the EU, (project IST-FP6-027540,<br />

INTEGRAMplus). <strong>CSEM</strong> want to thank the EU for its support.<br />

•<br />

Q<strong>in</strong>etiQ Ltd, Malvern (UK)<br />

[1] M. McNie, M. Jenk<strong>in</strong>s, A. S. Wilk<strong>in</strong>son, A. Turner,<br />

G. Sp<strong>in</strong>ola Durante, T. Harvey, T. Cox, C. Bosshard, P. Janus,<br />

“Integration of optical and microfluidic functions <strong>in</strong> a hollow<br />

waveguide platform”, Proc. Conference on Smart Systems<br />

Integration, Barcelona (E), April 2008


Novel Injection-Free Method for Intraepidermal Delivery of Large Molecular Weight<br />

Drugs<br />

C. Böhler • , T. Bragagna • , A. He<strong>in</strong>rich • , S. Summer • , S. Gross • , G. Boer •• , S. Grossmann, K. Krasnopolski, Q. Lai, T. Burch,<br />

M. E. Busse-Grawitz, D. Fengels<br />

Drug patches to deliver substances subcutaneously to replace pa<strong>in</strong>ful <strong>in</strong>jections are not new, but their application is very limited so far. Many drugs<br />

conta<strong>in</strong> large prote<strong>in</strong>s or water-<strong>in</strong>soluble hormones, which hardly penetrate the sk<strong>in</strong> or do not at all. A novel system has been developed, that<br />

makes the sk<strong>in</strong> able to absorb a variety of drugs while it is safe and easy to handle and, <strong>in</strong> addition, does not cause any pa<strong>in</strong>.<br />

Pantec Biosolutions approached <strong>CSEM</strong> with a vision. The<br />

idea was to develop a small handheld system that creates a<br />

matrix of superficial micro pores with<strong>in</strong> the epidermis. Tissue<br />

damage was to be m<strong>in</strong>imized while damage to blood vessels<br />

and nerve cells must be avoided. The micro pores enable a<br />

large variety of substances conta<strong>in</strong>ed <strong>in</strong> a medical patch to<br />

diffuse through the sk<strong>in</strong> barrier and be resorbed by circulation.<br />

A consistent, scalable drug diffusion surface can only be<br />

achieved by a highly controlled microporation process.<br />

Figure 1: Transdermal drug delivery - Patches <strong>in</strong>stead of <strong>in</strong>jections<br />

dur<strong>in</strong>g hormone therapy for <strong>in</strong>-vitro fertilization.<br />

The system requirements asked for <strong>in</strong>novative solutions on<br />

four technology paths - Laser system development, Laser<br />

beam shap<strong>in</strong>g, Laser beam guidance and Sk<strong>in</strong> Layer<br />

detection. To shorten time to market and mitigate risks,<br />

Pantec decided to go <strong>in</strong>to a CTI project together with <strong>CSEM</strong><br />

and the IMT.<br />

To achieve the required speed and precision, Pantec decided<br />

to develop a Laser based microporator. The use of a 2.94 μm<br />

Er:YAG Laser aims at an absorption peak of water, enabl<strong>in</strong>g<br />

ablation of tissue with very constra<strong>in</strong>ed undesired thermal<br />

tissue damage. With a handheld system <strong>in</strong> m<strong>in</strong>d, Pantec was<br />

able to develop an ultra small, energy efficient Laser system.<br />

The beam shap<strong>in</strong>g is an important part of the system and<br />

forms the <strong>in</strong>terface between Laser energy and tissue. Pantec<br />

conducted cl<strong>in</strong>ical studies to learn about the optimum Laser<br />

energy distribution <strong>in</strong> order to create micro pores optimized for<br />

drug diffusion. The IMT Neuchatel implemented first<br />

prototypes. Diffractive elements made out of silicone showed<br />

promis<strong>in</strong>g first results.<br />

Guid<strong>in</strong>g the laser beam requires compact, high-precision<br />

motor control. With<strong>in</strong> a few seconds, a Laser scanner must<br />

place the Laser spot at a few hundred positions on the sk<strong>in</strong><br />

surface and come to a full stop at each position with<strong>in</strong> less<br />

than 1 ms at below 10 μm quiescent stability. <strong>CSEM</strong><br />

developed a first prototype of the scanner, custom tailored to<br />

the application and superior <strong>in</strong> size and cost compared to<br />

state of the art solutions.<br />

Figure 2: Compact low-cost Laser scanner (left) with projected<br />

scann<strong>in</strong>g pattern (right)<br />

The sk<strong>in</strong> layer detection consists of a series of measurements<br />

dur<strong>in</strong>g the ablation process, provid<strong>in</strong>g feedback to adopt the<br />

Laser energy. <strong>CSEM</strong> is currently work<strong>in</strong>g close with Pantec<br />

Biosolutions to implement a first compact system. Two<br />

promis<strong>in</strong>g methods have been chosen for further <strong>in</strong>vestigation.<br />

An optical method measures differences <strong>in</strong> light scatter<strong>in</strong>g<br />

properties of different sk<strong>in</strong> layers while an acoustic method<br />

aims at detect<strong>in</strong>g differences <strong>in</strong> pressure wave propagation <strong>in</strong><br />

sk<strong>in</strong> layers with different water content.<br />

Figure 3: Controlled ablation depth, the goal of Sk<strong>in</strong> Layer Detection<br />

For the patch development, Pantec Biosolutions has two<br />

collaborations, one with a pharmaceuticals company which<br />

supplies the active substances, and the second with a<br />

reputable patch company which has the task to develop and<br />

manufacture the IVF patches.<br />

The creativity, cl<strong>in</strong>ical expertise and professional drive of<br />

Pantec Biosolutions, together with the <strong>CSEM</strong> multidiscipl<strong>in</strong>ary<br />

knowhow, have lead to a first work<strong>in</strong>g prototype of the device,<br />

the so called LEDDT platform (Laser Easy Drug Delivery<br />

Technology). This work was funded by CTI. <strong>CSEM</strong> thanks<br />

them for their support.<br />

•<br />

Pantec Biosolutions AG, Ruggell (LI)<br />

••<br />

Institute of Microtechnology, University of Neuchatel (CH)<br />

101


102


TIME AND FREQUENCY<br />

Ala<strong>in</strong> Maurissen<br />

The new time and frequency division of <strong>CSEM</strong> is an issue<br />

from the transfer July 1st <strong>2007</strong> of the Observatoire de<br />

Neuchâtel <strong>activities</strong> to the <strong>CSEM</strong>.<br />

S<strong>in</strong>ce the <strong>in</strong>vention of the pendulum clock (C. Huygens 1656),<br />

time and frequency have been the physical quantities that are<br />

measured with the highest precision. It has become a good<br />

strategy to translate other physical quantities <strong>in</strong>to time or<br />

frequency references (f. i. the meter is now def<strong>in</strong>ed as the<br />

length of the path travelled by light <strong>in</strong> vacuum dur<strong>in</strong>g a time<br />

<strong>in</strong>terval of 1/299 792 458 of a second)<br />

Recently the appearance of new microelectronic components<br />

such as laser diodes and counters/generators work<strong>in</strong>g beyond<br />

microwave frequencies has generated a worldwide frenetic<br />

<strong>research</strong> activity <strong>in</strong> the doma<strong>in</strong>.<br />

The (r)evolution is such that nowadays the words time and<br />

frequency are so closely associated that they can be used<br />

<strong>in</strong>stead of each other. Measur<strong>in</strong>g time merely translates <strong>in</strong>to<br />

count<strong>in</strong>g cycles of a known frequency (such as the one<br />

provided by an atomic clock therefore called “frequency<br />

standard”). Count<strong>in</strong>g cycles offers the major advantages<br />

<strong>in</strong>herent to the digital doma<strong>in</strong> (<strong>in</strong> particular its robustness to<br />

noise perturbations).<br />

The <strong>research</strong> <strong>activities</strong> <strong>in</strong> the new division are perfectly <strong>in</strong> l<strong>in</strong>e<br />

with this trend. <strong>CSEM</strong> strategy is to take full advantage of the<br />

ongo<strong>in</strong>g <strong>research</strong> and development <strong>in</strong> optical components.<br />

The availability of such components will make it possible to<br />

break the microwave barrier (GHz) where technology and<br />

<strong>research</strong> were stall<strong>in</strong>g and to quickly move towards fully<br />

optical clocks. This means that the available “counters” will<br />

soon operate <strong>in</strong> the hundreds of terahertz range rather than<br />

the tens of gigahertz range. The direct advantages <strong>in</strong> terms of<br />

precision and resolution are evident. Less evident is the fact<br />

that one can benefit from these improvements to massively<br />

reduce volume, mass and consumption while still keep<strong>in</strong>g<br />

acceptable performances.<br />

This will approach new doma<strong>in</strong>s of applications where the<br />

bulky technologies of today are <strong>in</strong>adequate (portable devices,<br />

GSMs, watches …).<br />

The all optic compact clock <strong>research</strong> at the Time and<br />

Frequency Division will take full benefit from the available<br />

technology and <strong>research</strong> platforms of the other divisions of<br />

<strong>CSEM</strong> all available under the “one roof” concept.<br />

In <strong>2007</strong>, the major efforts of the division were ma<strong>in</strong>ly devoted<br />

to:<br />

• Strengthen its position <strong>in</strong> the development of traditionally<br />

compact clocks, ma<strong>in</strong>ly for telecom and space<br />

applications.<br />

• Develop a new doma<strong>in</strong> of <strong>research</strong> around all optical<br />

clocks and more precisely ultra-compact optical clocks.<br />

• Enhance time of flight and lidars technology, more<br />

particularly <strong>in</strong> the PRN doma<strong>in</strong>.<br />

The development of the magnetic selection hot Caesium<br />

beam clock is now term<strong>in</strong>ated and has been transferred<br />

successfully to <strong>in</strong>dustry so that <strong>in</strong> 2008 the production of<br />

caesium based atomic frequency standards <strong>in</strong> Europe will<br />

revive (currently only some US companies produce such<br />

atomic clocks).<br />

The ESA funded Optical Space Caesium Clock <strong>research</strong><br />

project term<strong>in</strong>ated <strong>in</strong> <strong>2007</strong> demonstrates that the goal of<br />

reach<strong>in</strong>g a 10-12 stability at one second is achievable with a<br />

s<strong>in</strong>gle optical wavelength. This success is pav<strong>in</strong>g the way for<br />

new optical clock standards to be embarked on navigation and<br />

deep space sound<strong>in</strong>g satellites. The follow on activity has<br />

already started and aims at demonstrat<strong>in</strong>g that such results<br />

can be achieved with flight compatible hardware (see<br />

Figure 1).<br />

Figure 1: Optically pumped Caesium atomic clock for the European<br />

Navigation System Galiléo (Prototype <strong>in</strong> development)<br />

The development of the Space Active Hydrogen Maser<br />

Eng<strong>in</strong>eer<strong>in</strong>g Model f<strong>in</strong>ished with a full demonstration <strong>in</strong>dicat<strong>in</strong>g<br />

that performance of ground masers can be approached with<br />

space compatible technology and constra<strong>in</strong>ts (reduction <strong>in</strong><br />

dimensions by a factor of approx. 10). The project is now on<br />

hold and wait<strong>in</strong>g its restart <strong>in</strong> the scope of the development of<br />

a new generation of embarked space hydrogen masers<br />

The latest developments around the lidar demonstrated that<br />

PRN modulation of commercially available laser diode leads<br />

to eye safe lidars capable of detect<strong>in</strong>g targets at 8 km dur<strong>in</strong>g<br />

daytime. Together with the positive experience accumulated<br />

with other lidar types <strong>in</strong> EU projects, these results gives a<br />

boost <strong>in</strong> the plann<strong>in</strong>g of this activity at <strong>CSEM</strong>.<br />

103


PRN-cw Backscatter Lidar Prototype<br />

V. Mitev, R. Matthey, M. Haldimann<br />

In the frame of this activity we have realized a prototype of a backscatter lidar, based on the Pseudo-Random Noise modulation of a cont<strong>in</strong>uous<br />

wave diode laser (PRN-cw). The realized prototype is a compact and robust sensor, capable of detect<strong>in</strong>g solid surfaces and cloud base. Its<br />

detection performances are demonstrated for ranges from 600 m till 8000 m.<br />

The motivation <strong>in</strong> the development of PRN-cw lidars is based<br />

on the use of cw laser sources <strong>in</strong> range-resolved remote<br />

sens<strong>in</strong>g. Such lidars <strong>in</strong>herit a number of advantages from the<br />

cw laser: high power-efficiency, long life, robustness and<br />

compactness, and eye-safety. These advantages make the<br />

PRN-cw lidar a potential candidate for space missions <strong>in</strong><br />

land<strong>in</strong>g, altimetry, surface topography mapp<strong>in</strong>g, approach<strong>in</strong>g<br />

and dock<strong>in</strong>g, collision avoidance, cloud and atmospheric<br />

sens<strong>in</strong>g, etc. There are <strong>in</strong>dustrial applications that will benefit<br />

from the eye-safety of the operation, as well as the compact<br />

and durable design – traffic control, collision avoidance, etc.<br />

The presented activity is supported by ESA and <strong>in</strong>cluded the<br />

realisation of a compact PRN-cw lidar based on standard<br />

commercial components, as well as a set of tests for detection<br />

of hard target surfaces and cloud-base.<br />

Figure 1: View of the reported PRN-cw lidar mounted for test<strong>in</strong>g on a<br />

po<strong>in</strong>t<strong>in</strong>g table. The dimensions of the lidar ma<strong>in</strong>-frame are as follows:<br />

695 mm x 300 mm x 160 mm.<br />

The lidar is based on amplitude modulated laser diode with<br />

mean power of 400 mW. The laser diode is connected by<br />

optical fiber to the transmitt<strong>in</strong>g telescope. The transmitter and<br />

the receiver telescopes are assembled <strong>in</strong> a common<br />

mechanical frame, also support<strong>in</strong>g the detector and the<br />

electronics blocks for digitalisation and acquisition –Figure 1.<br />

The PRN sequence is with b<strong>in</strong>-duration of 50 ns (20 MHz<br />

modulation frequency). The received backscatter signal is<br />

detected by an APD, amplified by a variable-ga<strong>in</strong> amplifier and<br />

digitalized. The digitalisation is performed with 80 MHz<br />

sampl<strong>in</strong>g rate, i.e., with 4 times oversampl<strong>in</strong>g and follow-on<br />

decimation of 4 times. In this way the sampl<strong>in</strong>g frequency of<br />

80 MHz relaxes the requirements for the low-pass anti-alias<br />

filter without degradation of the range resolution.<br />

The generation of the PRN code, the signal accumulation and<br />

decimation, are performed <strong>in</strong> a FPGA-based system. The<br />

operation control of the lidar is carried by a PC connected via<br />

USB <strong>in</strong>terface to the FPGA. The calculation of the crosscorrelation<br />

function is also performed <strong>in</strong> this PC, by a code<br />

based on FFT procedure.<br />

104<br />

The measurements at shorter range are possible with an<br />

extremely small number of sequences and respectively short<br />

<strong>in</strong>tegration times. Figure 2 shows one example of detection of<br />

a surface at 739 m, achieved daytime with <strong>in</strong>tegration time of<br />

1 millisecond. The test demonstrated that the lidar is capable<br />

of detect<strong>in</strong>g surfaces (targets) at ranges till 8 km daytime.<br />

Figure 2: Cross-correlation function of backscattered signal from<br />

surfaces at 739 m, daytime; Integration time is 1.024 ms.<br />

Figure 3 presents an example of cross-correlation function for<br />

detection of the backscatter signal from cloud-base. The<br />

cloud-base detection is demonstrated for cloud altitudes from<br />

400 m till 3200 m with <strong>in</strong>tegration time vary<strong>in</strong>g from 0.05 s to<br />

30 s. The measurements were performed also daytime.<br />

Figure 3: Example of cross-correlation function of cloud-base<br />

backscattered signal. Integration time is 10s, daytime.<br />

Numerical tools for performance simulation of the PRN-cw<br />

lidar with analog detection have been realized. The<br />

comparison with the test measurements shows the adequacy<br />

of the numerical model, allow<strong>in</strong>g the simulation and<br />

assessment of future application scenarios for advanced<br />

PRN-cw lidars.


Space Hydrogen Active Maser<br />

S. Zivanov, C. Weber<br />

One of the two frequency standards that will be part of the Atomic Clock Ensemble <strong>in</strong> Space (ACES) payload to be flown on the International Space<br />

Station (ISS) is an active Space Hydrogen Maser (SHM) mandatory for its ultimate frequency stability performance <strong>in</strong> the mid-term range<br />

(3 s ≤ τ ≤ 3000 s). The Eng<strong>in</strong>eer<strong>in</strong>g Model developed <strong>in</strong> <strong>CSEM</strong> reaches the weight of the 35 kg SHM, the lightest ever built active maser.<br />

The SHM <strong>in</strong>strument is divided <strong>in</strong>to two pr<strong>in</strong>cipal functional<br />

packages, the Physics Package (Figure 1), that provides the<br />

actual atomic oscillator, and the Electronics Package<br />

(Figure 2), that provides the atomic signal process<strong>in</strong>g circuits,<br />

parameter control functions, telemetry and telecommand.<br />

The Physics Package is made of the microwave cavity and<br />

magnetic shield assembly, the electronics unit and several<br />

<strong>in</strong>tegrated peripherals like the hydrogen distribution assembly<br />

and the ion pumps.<br />

Figure 1: The photo of the Eng<strong>in</strong>eer<strong>in</strong>g Model and cross-section<br />

schematics view of SHM <strong>in</strong>strument:<br />

1: Microwave cavity and shields assembly; 2: Hydrogen-vacuum<br />

assembly; 3: Ions pumps; 4: Low noise RF amplifier 5: External<br />

fixation structure; 6: Hydrogen distribution assembly.<br />

In order to fulfill m<strong>in</strong>iaturization, the sapphire resonator acts as<br />

a "dielectric load" reduc<strong>in</strong>g the size of the microwave cavity<br />

and serv<strong>in</strong>g at the same time as the storage conta<strong>in</strong>er for the<br />

atomic hydrogen. The microwave cavity is made of titanium<br />

and tuned mechanically, thermally and electrically at the<br />

hydrogen hyperf<strong>in</strong>e frequency. The atomic storage bulb is a<br />

sapphire cyl<strong>in</strong>der of 1.7 liters bonded to the titanium cavity<br />

covers and Teflon coated. This volume, comparable to a full<br />

size maser design, and the Teflon coat<strong>in</strong>g technology<br />

developed at <strong>CSEM</strong> reach a high atomic signal and operat<strong>in</strong>g<br />

quality factor. The hydrogen atomic beam and storage bulb<br />

are ma<strong>in</strong>ta<strong>in</strong>ed under high vacuum with an ensemble of<br />

getters and ion pumps. The getter ensemble allows a vacuum<br />

autonomy of 10 days without electrical power and an<br />

<strong>in</strong>strument life time of more than 5 years. The thermal<br />

regulation is based on three pairs of concentric heaters<br />

regulat<strong>in</strong>g the microwave cavity temperature with a stability of<br />

1 mK. The heat is evacuated by conductance through the<br />

mechanical structure only. An Automatic Cavity System<br />

(ACT), based on a sampled <strong>in</strong>terrogation scheme, prevents<br />

SHM drifts due to the cavity pull<strong>in</strong>g. The magnetic shield<strong>in</strong>g is<br />

enhanced with an active compensation control loop. A step<br />

further <strong>in</strong> the direction of the size reduction is the removal of<br />

the external vacuum enclosure which provides the so called<br />

“thermal vacuum”. This vacuum will be provided <strong>in</strong>stead and<br />

directly by the “space vacuum”, given that the SHM is<br />

operat<strong>in</strong>g <strong>in</strong> the space environment.<br />

The Electronics Package is composed of an RF unit <strong>in</strong> charge<br />

of frequency lock<strong>in</strong>g the local quartz oscillator on the<br />

hydrogen clock transition frequency as well as frequency<br />

lock<strong>in</strong>g the microwave cavity us<strong>in</strong>g the ACT, of provid<strong>in</strong>g the<br />

proper microwave frequency to the hydrogen dissociation<br />

power amplifier, and f<strong>in</strong>ally of deliver<strong>in</strong>g a stable 100 MHz<br />

signal to the ACES payload. The Control Unit and the Power<br />

Supply Unit provide and/or control the necessary powers for<br />

an optimal operation of SHM. F<strong>in</strong>ally, the Control Unit<br />

<strong>in</strong>terfaces to the ACES payload <strong>in</strong> terms of telecommands and<br />

telemetries.<br />

Figure 2: The functional block diagram of the SHM Electronics<br />

Package<br />

The ma<strong>in</strong> objective of the current development is to perform<br />

an end-to-end performance demonstration, to be used as a<br />

stepp<strong>in</strong>g stone for pursu<strong>in</strong>g the development of the SHM and<br />

aim<strong>in</strong>g at the delivery of the SHM Proto Flight Model (PFM).<br />

105


106


COMLAB<br />

Alex Dommann<br />

<strong>CSEM</strong> develops, produces and <strong>in</strong>tegrates custom or standard<br />

<strong>in</strong>novative microsystems (sensors, actuators and their<br />

<strong>in</strong>tegration <strong>in</strong>to micro-systems) by exploit<strong>in</strong>g its advanced<br />

technologies to provide new <strong>in</strong>tegrated solutions to <strong>in</strong>dustrial<br />

and <strong>in</strong>stitutional customers. Targeted markets and<br />

applications <strong>in</strong>clude automotive, telecommunications, security,<br />

health care, biotechnology and environment − markets <strong>in</strong><br />

which system m<strong>in</strong>iaturization and <strong>in</strong>tegration is a must.<br />

In close collaboration with the regional <strong>in</strong>dustry, <strong>research</strong><br />

organizations, universities and the political authorities, the<br />

market for small volume production has been selected. S<strong>in</strong>ce<br />

the region between Lausanne and Neuchatel is already home<br />

to a substantial, successful MEMS cluster and <strong>CSEM</strong> has a<br />

rich portfolio of MEMS solutions to offer, it was obvious to<br />

<strong>in</strong>crease the Comlab <strong>activities</strong>.<br />

The global objective of Comlab is to supply a technology<br />

support for the R&D and production projects of <strong>CSEM</strong>, IMT<br />

(University of Neuchatel) and other publically funded R&D<br />

laboratories <strong>in</strong> the field of micro- and nanosystems like the<br />

CMI at the EPFL <strong>in</strong> Lausanne.<br />

<strong>CSEM</strong> concentrates its cleanroom <strong>activities</strong> towards reliable<br />

and qualified processes rather than develop<strong>in</strong>g absolute<br />

unique processes. Thus, <strong>CSEM</strong> is complementary to the CMI<br />

and IMT environment and also plays a role as an <strong>in</strong>dustrial<br />

company.<br />

With<strong>in</strong> the Subprogram “Foundry Services”, the Comlab<br />

organized the process<strong>in</strong>g of the structures with<strong>in</strong> the different<br />

programs of the divisions. Applications were m<strong>in</strong>imal <strong>in</strong>vasive<br />

medical <strong>in</strong>struments, MEMS for space, watch parts, fluidic<br />

channels and many other structures needed for evaluat<strong>in</strong>g the<br />

possibilities of the technology. The laboratory also fabricates<br />

prototypes and demonstrators that are used <strong>in</strong> advanced R&D<br />

programs of the <strong>in</strong>dustry. The flexibility of Comlab for the<br />

fabrication of small quantities of prototypes has proven to be<br />

attractive to <strong>in</strong>dustry for their R&D programs. To improve the<br />

power and the reliability of the foundry services, a quality<br />

manager with <strong>in</strong>dustrial experiences was hired.<br />

With<strong>in</strong> the Subprogram “Process Development” the Comlab<br />

developed new processes needed <strong>in</strong> <strong>research</strong> programmes as<br />

well as for the small volume production.<br />

The Subprogram “Quality Control” is focus<strong>in</strong>g on a key<br />

issue for the <strong>in</strong>dustrialization of MEMS. Quality control,<br />

however, needs attention at every development step.<br />

Reliability, testability as well as ag<strong>in</strong>g are key words which are<br />

essential to the <strong>in</strong>dustrialization of MEMS. <strong>CSEM</strong>, <strong>in</strong><br />

collaboration with IMT (group of Prof. N.F. de Rooij) has<br />

moved one step further to <strong>in</strong>dustrialization by comb<strong>in</strong><strong>in</strong>g the<br />

service of the Micro- and Nanoscopy (SMN, Dr. Massoud<br />

Dadras from IMT) with an additional X-ray service headed by<br />

Dr. Antonia Neels to a true quality management lab. Quality<br />

control is one way to differentiate <strong>CSEM</strong> from the Universities.<br />

249 samples were measured and analyzed by the new X-ray<br />

service dur<strong>in</strong>g the year <strong>2007</strong> and 321 structure analyses were<br />

carried out. The X-ray service was engaged <strong>in</strong> different<br />

applications for European Projects and projects on long term<br />

stability/ag<strong>in</strong>g of MEMS by HR-XRD.<br />

The goal of the X-ray Diffraction Laboratory for MEMS is to<br />

develop a test procedure for MEMS devices that:<br />

• Produce data that will help the system designers<br />

understand<strong>in</strong>g of ‘end-of-life’ characteristics<br />

• Can be adapted to the failure modes of MEMS devices.<br />

Can help to f<strong>in</strong>d correlation of the defect analysis with<br />

mechanical properties for Si based micro systems.<br />

• Life time estimations of specific Si based devices under<br />

different environmental conditions.<br />

• To develop a quality control tool based on X-ray defect<br />

analysis.<br />

HRXRD measures the stra<strong>in</strong> of a crystal with high resolution.<br />

This non destructive method obta<strong>in</strong>s quantitative data on the<br />

stra<strong>in</strong> present <strong>in</strong> a sample. <strong>CSEM</strong> uses HRXRD to assess the<br />

stra<strong>in</strong> <strong>in</strong> DRIE etched processed silicon beams. Stra<strong>in</strong><br />

deforms the silicon beam lead<strong>in</strong>g to an appreciable sample<br />

curvature which is detected via the broaden<strong>in</strong>g of the X-ray<br />

peak <strong>in</strong> a “rock<strong>in</strong>g-curve” measurement.<br />

In the future the collaboration between IMT and EPFL will be<br />

enforced.<br />

107


Quality Control<br />

A. Dommann, A. Ibzazene, A. Neel<br />

Quality control is one way to differentiate <strong>CSEM</strong> from the Universities. Substantial efforts have been undertaken to br<strong>in</strong>g this capability to an<br />

<strong>in</strong>ternally recognized performance level.<br />

249 samples were measured and analyzed by the new X-ray<br />

service dur<strong>in</strong>g the year <strong>2007</strong>. The X-ray service was engaged<br />

<strong>in</strong>:<br />

• A CTI Project with OC Oerlikon at Balzers<br />

• Different applications for European projects<br />

• Projects on long term stability / ag<strong>in</strong>g of MEMs by HR-<br />

XRD<br />

High resolution X-ray diffraction (HRXRD) is rout<strong>in</strong>ely used for<br />

the <strong>in</strong>vestigation of composition, stra<strong>in</strong>, orientation and overall<br />

quality of th<strong>in</strong> films and bulk crystall<strong>in</strong>e structures.<br />

A high-resolution X-ray diffractometer measures the stra<strong>in</strong> of a<br />

crystal. This is an accurate, non destructive method applied <strong>in</strong><br />

the field of MEMS to obta<strong>in</strong> quantified results on the crystall<strong>in</strong>e<br />

disorder. Ag<strong>in</strong>g of micromach<strong>in</strong>ed silicon actuators often goes<br />

along with a change of the stra<strong>in</strong> profile. HRXRD is therefore<br />

an optimal analysis tool for ag<strong>in</strong>g <strong>in</strong>vestigation on MEMS. For<br />

the X-ray measurements a Panalytical MPD high-resolution<br />

diffractometer was used. Typically, a strong argument to use<br />

monocrystall<strong>in</strong>e material and, especially, silicon is its potential<br />

resistance aga<strong>in</strong>st ag<strong>in</strong>g. However, quantified results of this<br />

fact are rarely published [1, 2] . Schweitz [3] has described<br />

methods to characterize mechanically the properties of th<strong>in</strong><br />

films. Some of them may be used for ag<strong>in</strong>g studies.<br />

Comparison between theoretical fracture values and real<br />

measurements show more than a factor of 10 differences<br />

between differently prepared structures. The reasons are<br />

manifold, however they are related to the surface roughness<br />

as well as to the defect concentration of the etched surfaces<br />

due to the ion bombardment [4] . Ag<strong>in</strong>g of a MEMS results <strong>in</strong> a<br />

change of the crystal stra<strong>in</strong> profile. Therefore, ag<strong>in</strong>g of a<br />

crystal can be documented by this method as a change of the<br />

stra<strong>in</strong> profile) [5] . In addition, the X-ray stand<strong>in</strong>g wave method<br />

(XSW) and reciprocal space mapp<strong>in</strong>g (RSM) [6] permit to<br />

characterize the amount of crystall<strong>in</strong>e defects <strong>in</strong>troduced by<br />

cycl<strong>in</strong>g and by manufactur<strong>in</strong>g of MEMS devices. The surface<br />

roughness can be measured by AFM methods.<br />

The goal of the X-ray Diffraction Laboratory for MEMS is to<br />

develop a test procedure for MEMS devices that:<br />

• Produce data that will help the system designers<br />

understand<strong>in</strong>g of ‘end-of-life’ characteristics<br />

• Can be adapted to the failure modes of MEMS devices<br />

HRXRD measures the stra<strong>in</strong> of a crystal with high resolution<br />

(Figure 1). This non destructive method obta<strong>in</strong>s quantitative<br />

data on the stra<strong>in</strong> present <strong>in</strong> a sample. <strong>CSEM</strong> uses HRXRD to<br />

assess the stra<strong>in</strong> <strong>in</strong> DRIE etched processed silicon beams.<br />

Stra<strong>in</strong> deforms the silicon beam lead<strong>in</strong>g to an appreciable<br />

sample curvature which is detected via the broaden<strong>in</strong>g of the<br />

X-ray peak <strong>in</strong> a “rock<strong>in</strong>g-curve” measurement.<br />

108<br />

mirror<br />

X<br />

X-ray source<br />

primary beam<br />

monochromator<br />

Figure 1: HRXRD measur<strong>in</strong>g setup<br />

sample<br />

diffracted<br />

beam analyzer<br />

(optional)<br />

φ<br />

detector<br />

ω<br />

χ<br />

[1] S. Arney, Design<strong>in</strong>g for MEMS Reliability, MRS Bullet<strong>in</strong>, April<br />

2001, 296<br />

[2] R. Shea Herbert, Reliability of MEMS for space applications,<br />

Proc. SPIE Int. Soc. Opt. Eng. 6111, 61110A (2006)<br />

[3] J.-Å Schweitz, Mechanical Characterization of Th<strong>in</strong> Films by<br />

Micromechanical Techniques, MRS Bullet<strong>in</strong>, XVII, 7 (1992),<br />

34-45<br />

[4] E. Mazza and J. Dual, Mechanical behavior of a µm-sized s<strong>in</strong>gle<br />

crystal silicon structure with sharp notches. J. Mechanics and<br />

Physics of Solids 47 (1999), 1795-1821<br />

[5] T. Vreeland Jr, A. Dommann, C.-J. Tsai and M.-A Nicolet, X-ray<br />

Diffraction Determ<strong>in</strong>ation of Stresses <strong>in</strong> Th<strong>in</strong> Films, Res. Soc.<br />

Symp. Proc., Vol. 130 (1989), 3-12<br />

[6] A. Dommann, A. Enzler, N. Onda, Advanced X-ray analysis<br />

Techniques to Investigate Ag<strong>in</strong>g of Micromach<strong>in</strong>ed Silicon<br />

Actuators for space Application, Microelectronics Reliability, 43<br />

(2003), 1099-1103<br />


ANNEXES<br />

Publications<br />

[1] L. Aeschimann, F. Goericke, J. Polesel-Maris,<br />

A. Meister, T. Akiyama, B. Chui, U. Staufer,<br />

R. Pug<strong>in</strong>, H. He<strong>in</strong>zelmann, N.F. de Rooij, W.P. K<strong>in</strong>g,<br />

P. Vettiger<br />

"Piezoresistive scann<strong>in</strong>g probe arrays for operation<br />

<strong>in</strong> liquids"<br />

Journal of Physics: Conference Series, 61 (<strong>2007</strong>) 6<br />

[2] T. Akiyama, L. Aeschimann, L. Chantada,<br />

N.F. de Rooij, H. He<strong>in</strong>zelmann, H.-P. Herzig,<br />

O. Manzardo, A. Meister, J. Polesel-Maris, R. Pug<strong>in</strong>,<br />

U. Staufer, P. Vettiger<br />

"Concept and Demonstration of Individual Probe<br />

Actuation <strong>in</strong> Two-Dimensional Parallel Atomic Force<br />

Microscope System"<br />

Japanese Journal of Applied Physics, 46 (<strong>2007</strong>)<br />

6458<br />

[3] N. Blondiaux, S. Zürcher, M. Liley, N. D. Spencer<br />

"Fabrication of Multiscale Surface-Chemical<br />

Gradients by Means of Photocatalytic Lithography"<br />

Langmuir, 23 (<strong>2007</strong>) 3489<br />

[4] B. Büttgen, M.-A. El Mechat, F. Lustenberger,<br />

P. Seitz<br />

"Pseudo-Noise Optical Modulation for Real-Time<br />

3D-Imag<strong>in</strong>g with M<strong>in</strong>imum Interference, "<br />

IEEE Transactions on Circuits and Systems, 54<br />

(October <strong>2007</strong>) 2109<br />

[5] B.W. Chui, L. Aeschimann, T. Akiyama, U. Staufer,<br />

N.F. de Rooij, J. Lee, F. Goericke, W.P. K<strong>in</strong>g,<br />

P. Vettiger<br />

"Advanced temperature compensation for<br />

piezoresistive sensors based on crystallographic<br />

orientation"<br />

Review of Scientific Instruments, 78 (<strong>2007</strong>) 43706<br />

[6] A. Dommann, G. Kotrotsios, A. Neels<br />

"MEMS Reliability and Test<strong>in</strong>g"<br />

MST News, 3/07 (<strong>2007</strong>) 33<br />

[7] P. Drob<strong>in</strong>ski, V. Mitev, et al.<br />

"Föhn <strong>in</strong> the Rh<strong>in</strong>e Valley dur<strong>in</strong>g MAP: A review of<br />

its multiscale dynamics <strong>in</strong> complex valley geometry"<br />

Quarterly Journal of the Royal Meteorological<br />

Society, 133-B (<strong>2007</strong>) 897<br />

[8] M. Fretz, T. Harvey, A-C. Pliska, C. Bosshard<br />

"Flip-Chip Bond<strong>in</strong>g on Polymers: A Die Attachment<br />

Method for Low Tg Materials"<br />

MST News, 4 (<strong>2007</strong>) 27<br />

[9] V. Friedli, Ch. Santschi, J. Michler, P. Hoffmann,<br />

I. Utke<br />

"Mass sensor for <strong>in</strong> situ monitor<strong>in</strong>g of focused ion<br />

and electron beam"Applied Physics Letter, 90<br />

(<strong>2007</strong>)<br />

[10] P. Glocker<br />

"Mikromontage mit Innovationspotential"<br />

A&D Select Robotik&Automation, 9 (<strong>2007</strong>) 36<br />

[11] H.F. Knapp<br />

"Precise Handl<strong>in</strong>g of Liquids and Cells"<br />

MST News, 5 (<strong>2007</strong>) 37<br />

[12] C. Kottler, F. Pfeiffer, O. Bunk, C. Grünzweig,<br />

J. Bruder, R. Kaufmann, L. Tlustos, H. Walt, I. Briod,<br />

T. Weitkamp, C. David<br />

"Phase contrast X-ray imag<strong>in</strong>g of large samples<br />

us<strong>in</strong>g an <strong>in</strong>coherent laboratory source"<br />

Phys. Stat. sol. (a), 204 (<strong>2007</strong>) 2428<br />

[13] Q. Lai, T. Burch, S. Grossmann, A. Stump,<br />

K. Krasnopolski, M. Busse-Grawitz, D. Fengels,<br />

C. Böhler, T. Bragagna, A. He<strong>in</strong>rich, S. Gross,<br />

S. Summer, B. Nussbaumer, G. Boer<br />

"Mediz<strong>in</strong>technik – Spielgrund für Innovation"<br />

STZ/SWISS ENGINEERING, 10 (<strong>2007</strong>) 31<br />

[14] G. Martucci, V. Mitev, R. Matthey, H. Richner<br />

"Comparison between Backscatter Lidar and<br />

Radiosonde Measurements of the Diurnal and<br />

Nocturnal Stratification <strong>in</strong> the Lower Troposphere"<br />

Journal of Atmospheric and Oceanic Technology, 24<br />

(<strong>2007</strong>) 1231<br />

[15] E. Onillon<br />

"Europe’s New Weather Satellite"<br />

dSPACe News, (February <strong>2007</strong>) 14<br />

[16] C. Piguet<br />

"Consommation statique: modèles, évolutions et<br />

perspectives "<br />

Techniques et Sciences Informatiques, RSTI série<br />

TSI, 26, n° 5/07 (May <strong>2007</strong>) 623<br />

[17] C. Piguet<br />

"Low-Power Design of Systems on Chip "<br />

Digital Design and Fabrication, edited by Voj<strong>in</strong><br />

Oklobdzija, SPI Publishers, (<strong>2007</strong>) 39464<br />

109


[18] A.-C. Pliska, C. Bosshard<br />

"Adhesive bond<strong>in</strong>g of passive optical components"<br />

Micro and Opto-Electronic Materials and Structures -<br />

Physics, Mechanics, Design, Reliability and<br />

Packag<strong>in</strong>g, 1 (<strong>2007</strong>) 487<br />

[19] J. Polesel-Maris, L. Aeschimann, A. Meister,<br />

R. Ischer, E. Bernard, T. Akiyama, M. Giazzon,<br />

P. Niedermann, U. Staufer, R. Pug<strong>in</strong>, N.F. de Rooij,<br />

P. Vettiger, H. He<strong>in</strong>zelmann<br />

"Piezoresistive cantilever array for life sciences<br />

applications"<br />

Journal of Physics: Conference Series, 61 (<strong>2007</strong>)<br />

955<br />

[20] J. Ramm, M. Ante, H. Brändle, A. Neels,<br />

A. Dommann, M. Döbeli<br />

"Thermal stability of th<strong>in</strong> film corundum-type solid<br />

solutions of (Al1-xCrx)2O3 synthesized under low<br />

temperature non equilibrium conditions"<br />

Eng<strong>in</strong>eer<strong>in</strong>g Materials, 9 (<strong>2007</strong>) 604-608<br />

[21] M. Roerd<strong>in</strong>k, J. Pragt, I. Korczag<strong>in</strong>,<br />

M.A. Hempenius, T. Stöckli, Y. Keles, H.F. Knapp,<br />

C. H<strong>in</strong>derl<strong>in</strong>g, G.J. Vancso<br />

"Templated Growth of Carbon Nanotubes with<br />

Controlled Diameters Us<strong>in</strong>g Organic-Organometallic<br />

Block Copolymers with Tailored Block Lengths"<br />

Journal of Nanoscience and Nanotechnology, 7<br />

(<strong>2007</strong>) 1052<br />

[22] A. S. Roy, C. C. Enz, J.-M. Sallese<br />

"Source–Dra<strong>in</strong> Partition<strong>in</strong>g <strong>in</strong> MOSFET"<br />

IEEE Trans. Electron Devices, 54, Nr. 6 (June <strong>2007</strong>)<br />

1384<br />

Proceed<strong>in</strong>gs<br />

[1] J. Auerswald, P. Niedermann, F. Dias, H. Keppner,<br />

J. Nestler, K. Hiller, T. Gessner, H.F. Knapp<br />

"Bond<strong>in</strong>g of SPR Sensors on Glass Chips to<br />

Thermoplastic Microfluidic Scaffolds"<br />

Smart Systems Integration Conference, T. Gessner,<br />

Paris, FR, March 07, 153<br />

[2] J. Ayadi, H. Zhan, J. R. Farserotu<br />

"Maximum Likelihood Time of Arrival Estimation for<br />

UWB Signals"<br />

IEEE International Symposium on Signal Process<strong>in</strong>g<br />

and its Applications, IEEE ISSPA, Sharjah, AE,<br />

February <strong>2007</strong><br />

110<br />

[23] A. S. Roy, C. C. Enz<br />

"Analytical Model<strong>in</strong>g of Large-Signal Cyclo-<br />

Stationary Low-Frequency Noise With Arbitrary<br />

Periodic Input"<br />

IEEE Trans. Electron Devices, 54, 2537<br />

[24] A. S. Roy, C. C. Enz, J.-M. Sallese<br />

"Model<strong>in</strong>g <strong>in</strong> Lateral Nonuniform MOSFET"<br />

IEEE Trans. Electron Devices, 54, 1994<br />

[25] N. Virag, R. Sutton, R. Vetter, T. Markowitz,<br />

M. Erickson "Prediction of vasovagal syncope from<br />

heart rate and blood pressure trend and variability:<br />

Experience <strong>in</strong> 1,155 patients"<br />

Heart Rhythm, 4 (November <strong>2007</strong>) 1377<br />

[26] C. Voigt, B. Kärcher, H. Schlager, C. Schiller,<br />

M. Krämer, M. de Reus, H. Vöss<strong>in</strong>g, S. Borrmann,<br />

V. Mitev<br />

"In-situ observations and model<strong>in</strong>g of small nitric<br />

acid-conta<strong>in</strong><strong>in</strong>g ice crystals"<br />

Atmospheric Chemistry and Physics, 7 (<strong>2007</strong>) 3373<br />

[27] U. Yodprasit, C. C. Enz, P. Gimmel<br />

"Common-mode Oscillation <strong>in</strong> Capacitive Coupled<br />

Differential Colpitts Oscillators"<br />

Electronics Letters, 43, Nr. 21 (October <strong>2007</strong>) 1127<br />

[3] J. Ayadi, H. Zhan, J. R. Farserotu<br />

"Rang<strong>in</strong>g Algorithms for UWB Communication<br />

Systems"<br />

International Conference: Sciences of Electronic,<br />

Technologies of Information and<br />

Telecommunication, SETIT, Hammamet, TN,<br />

March <strong>2007</strong><br />

[4] M.-A. El Mechat, B. Büttgen<br />

"Realization of Multi-3D-TOF Camera Environments<br />

Based on Coded-B<strong>in</strong>ary Sequences Modulation"<br />

Optical 3-D Measurement techniques VIII, Grün,<br />

Kahmen, Zurich, CH, July <strong>2007</strong>, 26<br />

[5] M. El-Khoury, J. Solà I Caros, V. Neuman, J. Krauss<br />

"Portable SpO2 Monitor: A Fast Response<br />

Approach"<br />

IEEE Portable <strong>2007</strong>, IEEE, Orlando, US,<br />

March <strong>2007</strong>


[6] M. El-Khoury<br />

"Body Sensor Networks and Portable Monitor<strong>in</strong>g<br />

Systems"<br />

IEEE Portable <strong>2007</strong>, IEEE, Orlando, US,<br />

March <strong>2007</strong><br />

[7] J. R. Farserotu<br />

"Short range wireless connectivity for health and<br />

wellness-Draft V0.1"<br />

ETSI EP eHealth, ETSI, Sophia Antipolis, FR,<br />

December <strong>2007</strong><br />

[8] M. Fretz<br />

"Simulation of Hygro Swell<strong>in</strong>g Induced Stresses <strong>in</strong><br />

Flip Chip Interconnects <strong>in</strong> a Stress-Sensitive Chipon-Board<br />

Configuration"<br />

Comsol Conference <strong>2007</strong>, M. Fretz, Grenoble, FR,<br />

October <strong>2007</strong>, CD proceed<strong>in</strong>g<br />

[9] J. Gerrtis, J. R. Farserotu<br />

"FM-UWB: A low Complexity Constant Envelope<br />

LDR UWB Communication System"<br />

IEEE802.15, Wireless Personnal Area Networks,<br />

Study group BAN, WPAN, July <strong>2007</strong><br />

[10] E. Grenet<br />

"Embedded high dynamic range vision system for<br />

real-time driv<strong>in</strong>g assistance"<br />

Sensorik für Fahrerassistenzsysteme, Heilbronn,<br />

DE, September <strong>2007</strong><br />

[11] C.A. Griffiths, S. Bigot, E. Brousseau, M. Heckele,<br />

J. Nestler, J. Auerswald<br />

"Polymer <strong>in</strong>serts tool<strong>in</strong>g for prototyp<strong>in</strong>g of micro<br />

fluidic components <strong>in</strong> micro <strong>in</strong>jection mould<strong>in</strong>g"<br />

4M <strong>2007</strong> Conference on Multi-Material Micro<br />

Manufacture, S. Dimov, W. Menz, Y. Toshev,<br />

Borovets, BG, October <strong>2007</strong>, 113<br />

[12] T. Heldal, T. Volden, J. Auerswald, H.F. Knapp<br />

"Embedded Low-Voltage Micropump Based Us<strong>in</strong>g<br />

Electroosmosis of the Second K<strong>in</strong>d"<br />

NSTI Nanotech <strong>2007</strong>, 10th Annual Nanotechnology<br />

Conference and Trade Show, The Nano Science<br />

and Technology Institute (NSTI), USA, Santa Clara,<br />

US, May <strong>2007</strong>, Vol. 3, 268<br />

[13] S. Hene<strong>in</strong>, M. Stampanoni, U. Frommherz, M. Ri<strong>in</strong>a<br />

"The Nanoconverter: a novel flexure-based<br />

mechanism to convert microns <strong>in</strong>to nanometers"<br />

7th International Conference of the European<br />

Society for Precision Eng<strong>in</strong>eer<strong>in</strong>g &<br />

Nanotechnology, EUSPEN, Bremen, DE, May <strong>2007</strong><br />

[14] K. Hiller, T. Gessner, J. Nestler, J. Gavillet, S. Get<strong>in</strong>,<br />

E. Quesnel, S. Mart<strong>in</strong>, G. Dellapierre, J. Soechtig,<br />

G. Voir<strong>in</strong>, L. Buergi, J.<br />

"Integration Aspects of a Polymer Based SPR<br />

Biosensor with Active Microoptical and Microfluidic<br />

Elements"<br />

Smart Systems Integration Conference, T. Gessner,<br />

Paris, FR, March 07, 295<br />

[15] H-B. Li, J. Shwoerer, Y.M. Yoon, J. R. Farserotu<br />

"IEEE802.15.6 Regulation Subcommittee Report,<br />

IEEE802.15, Wireless Personnal Area Networks"<br />

IEEE802.15, Wireless Personnal Area Networks,<br />

IEEE P802.15-07-0939-00-OBAN, WPAN, Atlanta,<br />

US, November <strong>2007</strong><br />

[16] G. Martucci, R. Matthey, V. Mitev, H. Richner<br />

"Lidar determ<strong>in</strong>ation of the frequency of variations of<br />

the boundary-layer top"<br />

IGARSS <strong>2007</strong>, Barcelona, SP, 23-27 July <strong>2007</strong>,<br />

Paper 1107<br />

[17] V. Mitev, M. Sato, T. Ebizuzaki, Y. Takizawa,<br />

Y. Kawasaki, R. Matthey<br />

"Atmospheric Monitor<strong>in</strong>g System of JEM-EUSO<br />

Mission"<br />

30th International Cosmic Ray Conference, Merida,<br />

MX, July 3 - 11, <strong>2007</strong>, Paper 0846<br />

[18] V. Mitev, R. Matthey, G. Martucci, V. Yushkov,<br />

N. Sitnikov, A. Lukyanov, E. Lapshova,<br />

A. Ulanovsky, F. Ravegnani<br />

"Evidences for vertical transport connected to cirrus<br />

clouds formation <strong>in</strong> the tropical UTLS, observed with<br />

stratospheric aircraft 'Geophysica' "<br />

European Geosciences Union (EGU) General<br />

assembly, Vienna, AU, 15-20 April <strong>2007</strong>,<br />

AS1.09-1TH1P-0022<br />

[19] J. Nestler, A. Morschhauser, K. Hiller, J. Auerswald,<br />

H.F. Knapp, T. Otto, T. Gessner<br />

"Fully Integrated Polymer Based Microfluidic Pumps<br />

and Valves <strong>in</strong> Lab-on-Chip Systems for Po<strong>in</strong>t-of-<br />

Care Use"<br />

Smart Systems Integration Conference, T. Gessner,<br />

Paris, FR, March 07, 565<br />

[20] J. Nestler, A. Morschhauser, K. Hiller, S. Bigot,<br />

J. Auerswald, J. Gavillet, T. Otto, T. Gessner<br />

"Electrochemical microfluidic pumps based on super<br />

absorb<strong>in</strong>g polymers"<br />

11th International Conference on M<strong>in</strong>iaturized<br />

Systems for Chemistry and Life Sciences<br />

(MicroTAS), J.-L. Viovy, P. Tabel<strong>in</strong>g, S. Descroix,<br />

L. Malaqu<strong>in</strong>, Paris, FR, October <strong>2007</strong>, 1504<br />

111


[21] E. Onillon, P. Theurillat, A. O’Hare, P. Spanoudakis,<br />

P. Schwab<br />

"Mechanical Slit Mask Mechanism Breadboard for<br />

the MOSFIRE <strong>in</strong>strument of the KECK Telescope<br />

Spectrometer"<br />

IEEE/ASME International Conference on Advanced<br />

Intelligent Mechatronics, AIM <strong>2007</strong>, Zurich, CH,<br />

September <strong>2007</strong><br />

[22] J. Osmond, G. Isella, D. Chrast<strong>in</strong>a, R. Kaufmann,<br />

H. von Känel<br />

"Ge/Si (100) heterojunction photodiodes fabricated<br />

from material grown by low energy plasma<br />

enhanced chemical vapor deposition"<br />

5th International Conference on Silicon Epitaxy and<br />

Heterostructures (ICSI-5), to be published <strong>in</strong> Th<strong>in</strong><br />

Solid Films, Elesevier, Marseille, FR, May <strong>2007</strong><br />

[23] A. Perret, K.D. Lang, G. Poupon<br />

"Euripides White Book"<br />

[24] C. Picker<strong>in</strong>g, M. McNie, C. Reeves, T. Harvey,<br />

T. Ryan, C. Bosshard, H.F. Knapp, G. Schröpfer,<br />

F. von Germar, T. Bauer, P. Janus, P. Gabriec,<br />

C. Moldovan, B. Firtat, A. Richardson<br />

"Multi-doma<strong>in</strong> and multi-technology <strong>in</strong>tegration for<br />

next generation MNT products"<br />

Smart Systems Integration Conference, Paris, FR,<br />

March 07, 73<br />

[25] C. Piguet<br />

"Histoires des microprocesseurs horlogers 2/3"<br />

Bullet<strong>in</strong> de la Société Suisse de Chronométrie, 54<br />

(May <strong>2007</strong>) 31<br />

[26] C. Piguet<br />

"Histoires des microprocesseurs horlogers 3/3"<br />

Bullet<strong>in</strong> de la Société Suisse de Chronométrie, 55<br />

(September <strong>2007</strong>) 33<br />

[27] A.-C. Pliska, R. Bauknecht, R. Krähenbühl,<br />

A. Peterhans, A. Stump, S. Aiterrami, C. Bosshard,<br />

J. Kunde<br />

"Compact 90°multi-fiber releasable connection"<br />

Smart Systems Integration Conference, T. Gessner,<br />

Paris, FR, March 07, 223<br />

[28] J. Rousselot, A. El-Hoiydi, J-D. Decotignie<br />

"On the Problem of Near-Far Interference with<br />

Impulse Ultra Wide Band radios"<br />

European Ultra Wide Band Radio Technology<br />

Workshop, UWB <strong>2007</strong>, Grenoble, FR, May <strong>2007</strong><br />

112<br />

[29] J. Rousselot, A. El-Hoiydi, J-D. Decotignie<br />

"Performance evaluation of the IEEE 802.15.4A<br />

UWB physical layer for Body Area Networks"<br />

12th IEEE Symposium on Computers and<br />

Communications, ICC <strong>2007</strong>, Aveiro, PT, July <strong>2007</strong>,<br />

969<br />

[30] P.-F. Rüedi, E. Grenet, F. Lustenberger<br />

"Battery powered high dynamic range vision system"<br />

ISCAS, New Orleans, US, May <strong>2007</strong>, 1200<br />

[31] P.-F. Rüedi<br />

"High dynamic range vision sensor for embedded<br />

applications"<br />

Image sensor analog and digital on-chip process<strong>in</strong>g,<br />

Toulouse, FR, November <strong>2007</strong><br />

[32] P. Seitz, S. Beer, Y. Delley<br />

"Smart pixel array for the simultaneous detection of<br />

phase and amplitude envelope, enabl<strong>in</strong>g real-time<br />

nanometer-precision OCT"<br />

Frontiers of Electronic Imag<strong>in</strong>g, P. Seitz, Munich,<br />

DE, June <strong>2007</strong>, 76<br />

[33] P. Seitz<br />

"Optical Biochips"<br />

4th Optoelectronic and Photonic W<strong>in</strong>ter School on<br />

Biophotonics, L. Pavesi, Trento, IT, March <strong>2007</strong>, 14<br />

[34] P. Seitz<br />

"Photon-Noise Limited Distance Resolution of<br />

Optical Metrology Methods"<br />

SPIE Conference on Optical Metrology, W. Osten,<br />

Munich, DE, June <strong>2007</strong>, 66160D<br />

[35] P. Seitz<br />

"The history of optical time-of-flight techniques for<br />

3D imag<strong>in</strong>g "<br />

Frontiers of Electronic Imag<strong>in</strong>g, P. Seitz, Munich,<br />

DE, June <strong>2007</strong>, 62<br />

[36] P. Seitz<br />

"Tiens, vous voulez faire une carrière scientifique"<br />

Atelier du Laboratoire Européen Associé en<br />

Microtechnique, S. Grassi, Arc-et-Senans, FR,<br />

September <strong>2007</strong>, 50<br />

[37] J. Solà I Caros, O. Chételat, J. Krauss<br />

"On the reliability of pulse oximetry at the sternum"<br />

29th Annual International Conference of the IEEE<br />

Eng<strong>in</strong>eer<strong>in</strong>g <strong>in</strong> Medic<strong>in</strong>e and Biology Society, IEEE<br />

EMBC <strong>2007</strong>, Lyon, FR, August <strong>2007</strong>


[38] J. Solà I Caros, O. Chételat<br />

"Comb<strong>in</strong>ation of multiple light paths <strong>in</strong> pulse<br />

oximetry: the f<strong>in</strong>ger r<strong>in</strong>g example"<br />

29th Annual International Conference of the IEEE<br />

Eng<strong>in</strong>eer<strong>in</strong>g <strong>in</strong> Medic<strong>in</strong>e and Biology Society, IEEE<br />

EMBC <strong>2007</strong>, Lyon, FR, August <strong>2007</strong><br />

[39] J. Solà I Caros, O. Chételat<br />

"Opto-electric cardiovascular monitor<strong>in</strong>g"<br />

SSBE <strong>2007</strong>, <strong>CSEM</strong>, Neuchatel, CH, August <strong>2007</strong><br />

[40] P. Spanoudakis, P. Schwab, S. Droz,<br />

J-P. Jeanneret, S. Hene<strong>in</strong><br />

"Flexure-based micro-gripper for robotic<br />

applications"<br />

7th International Conference of the European<br />

Society for Precision Eng<strong>in</strong>eer<strong>in</strong>g &<br />

Nanotechnology, EUSPEN, Bremen, DE, May <strong>2007</strong><br />

[41] G. Voir<strong>in</strong>, G. Dudnik, J. Luprano<br />

"Advanced e-textiles for firefighter and civilian<br />

victims"<br />

3rd Global Plastic Electronics Conference &<br />

Showcase, Plastic Electronics Foundation,<br />

Frankfurt, DE, October <strong>2007</strong><br />

[42] D. Wehrle, D. Feriencik, A. Hutter, L. Garcia,<br />

P. Pelissou, F.J. Lopez Hernandez, K. Pribil,<br />

I. Hernandez Velasco, P. Plancke, R. Magness<br />

"The Wireless Intra-spacecraft Data Handl<strong>in</strong>g<br />

Demonstrator Development for the European Space<br />

Agency"<br />

DAta Systems In Aerospace, DASIA <strong>2007</strong>, Naples,<br />

IT, May-June <strong>2007</strong><br />

[43] Q. Xu, J. R. Farserotu, J.-F. Zürcher, A. Skrivervik<br />

"Broadband small array antenna for high altitude<br />

platforms applications"<br />

18th Annual IEEE International Symposium on<br />

Personal, Indoor and Mobile Radio<br />

Communications, IEEE PIMRC <strong>2007</strong>, Athens, GR,<br />

September <strong>2007</strong><br />

Conferences and Workshops<br />

J. Auerswald, P. Niedermann, F. Dias, H. Keppner,<br />

J. Nestler, K. Hiller, T. Gessner, H.F. Knapp<br />

"Smart Systems Integration Conference"<br />

Assembly and Interconnect Technologies, Paris,<br />

FR, March <strong>2007</strong><br />

J. Ayadi<br />

"IEEE International Symposium on Signal<br />

Process<strong>in</strong>g and its Applications"<br />

IEEE ISSPA, Sharjah, AE, February <strong>2007</strong><br />

[44] H. Zhan, J. Ayadi, J. R. Farserotu, J.-Y. Le Boudec<br />

"A novel maximum likelihood estimation of<br />

superimposed exponential signals <strong>in</strong> noise and ultrawideband<br />

application"<br />

19th Annual IEEE International Symposium on<br />

Personal, Indoor and Mobile Radio<br />

Communications, IEEE PIMRC <strong>2007</strong>, Athens, GR,<br />

September <strong>2007</strong><br />

[45] H. Zhan, J. Ayadi, J. R. Farserotu, J.-Y. Le Boudec<br />

"High Resolution Impulse Radio Ultrawideband<br />

Rang<strong>in</strong>g"<br />

IEEE International Conference on Ultra-Wideband,<br />

ICUWB<strong>2007</strong>, S<strong>in</strong>gapore, SG, September <strong>2007</strong><br />

[46] H. Zhan, J. Ayadi, J. R. Farserotu, J.-Y. Le Boudec<br />

"Ultra Wideband Rang<strong>in</strong>g under Multi-User<br />

Environments Based on Hidden Markov Model"<br />

10th International Symposium on Wireless Personal<br />

Multimedia Communications, WPMC 07, Jaipur, IN,<br />

December <strong>2007</strong><br />

[47] H. Zhan, J. Ayadi, J. R. Farserotu, J.-Y. Le Boudec<br />

"Impulse Radio Ultra-Wideband Rang<strong>in</strong>g under<br />

Mulit-User Environments Based on Hidden Markov<br />

Model"<br />

10th International Symposium on Wireless Personal<br />

Multimedia Communications, WPMC 07, Jaipur, IN,<br />

December <strong>2007</strong><br />

J. Ayadi<br />

"International Conference: Sciences of Electronic,<br />

Technologies of Information and<br />

Telecommunication"<br />

SETIT, Hammamet, TN, March <strong>2007</strong><br />

113


A. Bonfiglio, N. Carbonaro, C. Chuzel, D. Curone,<br />

G. Dudnik, F. Germagnoli, D. Hatherall,<br />

J.-M. Koller, T. Lanier, G. Loriga, J. Luprano,<br />

G. Magenes., R. Paradiso, A. Tognetti, G. Voir<strong>in</strong>,<br />

R. Waite<br />

"Manag<strong>in</strong>g catastrophic events by wearable mobile<br />

systems"<br />

MobileResponse 20007, International Workshop on<br />

Mobile Information, Sankt August<strong>in</strong>, DE,<br />

February <strong>2007</strong><br />

N. Blondiaux, S. Zürcher, S. Morgenthaler,<br />

R. Pug<strong>in</strong>, N.D. Spencer, M. Liley<br />

"Fabrication of multiscale, surface chemical and<br />

surface structure"<br />

SAOG-GSSI, 23rd annual meet<strong>in</strong>g, Fribourg,<br />

Switzerland, January <strong>2007</strong><br />

C. C. Enz, J. Baborowski, J. Chabloz, M. Kucera,<br />

C. Muller, D. Ruffieux, N. Scolari<br />

"Ultra Low-Power MEMS-based Radio for Wireless<br />

Sensor Networks"<br />

European Conference on Circuit Theory and<br />

Design (ECCTD), Sevilla, ES, August <strong>2007</strong><br />

J. Chabloz, D. Ruffieux, A. Vouilloz, P. Tortori,<br />

F. Pengg, C. Muller, C. C. Enz<br />

"Frequency Synthesis for a Low-Power 2.4 GHz<br />

Receiver Us<strong>in</strong>g a BAW Oscillator and a Relaxation<br />

Oscillator"<br />

European Solid-State Circuit Conference<br />

(ESSCIRC), Munich, DE, September <strong>2007</strong><br />

O. Chételat<br />

"Cont<strong>in</strong>uous multiparameter health monitor<strong>in</strong>g"<br />

Colloque Médec<strong>in</strong>e Aerospatiale, Genève, CH,<br />

June <strong>2007</strong><br />

A. Dommann<br />

"Acta Materialia Gold Medal Workshop;<br />

Commercialization of Nanotechnology"<br />

<strong>2007</strong> E-MRS Fall Meet<strong>in</strong>g, Wasaw, PL,<br />

September <strong>2007</strong><br />

A. Dommann<br />

"Ag<strong>in</strong>g measurements on microstructures"<br />

HRXRD Workshop, <strong>CSEM</strong>, Neuchatel, CH,<br />

August <strong>2007</strong><br />

A. Dommann<br />

"Coat<strong>in</strong>g technologies for the watch <strong>in</strong>dustry"<br />

CCMX-Conference, Lausanne, CH, June <strong>2007</strong><br />

A. Dommann<br />

"Coat<strong>in</strong>gs and MEMS for Lifesciences"<br />

Lohmann & Rauscher Science Day, Bonn, DE,<br />

February <strong>2007</strong><br />

114<br />

A. Dommann<br />

"Companies on the NANO sector"<br />

STAB Scientific Technological Advisory Board,<br />

Vienna, Vienna, AU, December <strong>2007</strong><br />

A. Dommann<br />

"Crystallography on perfect crystals"<br />

10th Anniversary of the BENEFRI Crystallography<br />

Service, Neuchatel, CH, November <strong>2007</strong><br />

A. Dommann<br />

"<strong>CSEM</strong>, a strategic Partner of Ciba"<br />

Ciba R&D Conference <strong>2007</strong>, Basel, Basel, CH,<br />

November <strong>2007</strong><br />

A. Dommann<br />

"Future of Comlab"<br />

5th European Mechatronics Meet<strong>in</strong>g, Grand-<br />

Bornand, FR, June <strong>2007</strong><br />

A. Dommann<br />

"Innovative X-Ray techniques to characterize VLSI"<br />

SIMTech - Jo<strong>in</strong>t Swiss-S<strong>in</strong>gapore Workshop on<br />

Sensors for Harsh Environments, S<strong>in</strong>gapore, SG,<br />

January <strong>2007</strong><br />

A. Dommann<br />

"Long term stability of MEMS"<br />

NanoScience <strong>2007</strong>, Lichtenwalde/Sachsen, DE,<br />

October <strong>2007</strong><br />

A. Dommann<br />

"MEMS for Cars"<br />

VW Sem<strong>in</strong>ar, Wolfsbrurg, DE, March <strong>2007</strong><br />

A. Dommann<br />

"MEMS Reliability for Space"<br />

First CEAS European Air and Space Conference,<br />

Berl<strong>in</strong>, DE, September <strong>2007</strong><br />

A. Dommann<br />

"More than Moore and MEMS"<br />

ENIAC Initiative, IBM Research Centre,<br />

Rüschlikon, CH, November <strong>2007</strong><br />

A. Dommann<br />

"New horizons <strong>in</strong> Coat<strong>in</strong>gtechnology"<br />

CCMX-Day, Fribourg, CH, March <strong>2007</strong><br />

A. Dommann<br />

"New techniques to determ<strong>in</strong>e ag<strong>in</strong>g on MEMS"<br />

Panalytical-Meet<strong>in</strong>g, Almelo, NL, November <strong>2007</strong><br />

A. Dommann<br />

"Polymer MEMS for Medical Purposes"<br />

SSB Conference, Neuchatel, CH, May <strong>2007</strong>


A. Dommann<br />

"Possible collaboration models <strong>in</strong> the field of MEMS<br />

with <strong>CSEM</strong>"<br />

MicroNanoFabrication Annual Meet<strong>in</strong>g, EPFL,<br />

Lausanne, CH, May <strong>2007</strong><br />

A. Dommann<br />

"Reliability for MEMS <strong>in</strong> Space"<br />

ESTEC, Noordwijk, NL, April <strong>2007</strong><br />

A. Dommann<br />

"Sensors and Nanotech Today"<br />

Mettler-Toledo Wrap-Up <strong>2007</strong>, Greifensee, CH,<br />

November <strong>2007</strong><br />

A. Dommann<br />

"X-Ray Analysis on th<strong>in</strong> films"<br />

EMPA-Conference, Dübendorf, CH, May <strong>2007</strong><br />

M.-A. Dubois, C. Billard, G.Parat, M. Aissi, H. Ziad,<br />

J.-F. Carpentier, K.B. Östman<br />

"Above-IC Integration of BAW Resonators and<br />

Filters for Communication Applications"<br />

Invited paper at 3rd International Symposium on<br />

Acoustic Wave Devices for Future Mobile<br />

Communication Systems, Chiba, JP, March <strong>2007</strong><br />

M.-A. El Mechat<br />

"Realization of multi-3D-TOF camera environments<br />

based on coded-b<strong>in</strong>ary sequences modulation "<br />

Optical 3D Measurement Techniques, Zurich, CH,<br />

July <strong>2007</strong><br />

M. El-Khoury, J. Krauss<br />

"International Conference on Portable Information<br />

Devices"<br />

IEEE Portable <strong>2007</strong>, Orlando, US, March <strong>2007</strong><br />

C. C. Enz, J. Chabloz, J. Baborowski, C. Muller,<br />

D. Ruffieux<br />

"Build<strong>in</strong>g Blocks for an Ultra Low-Power MEMSbased<br />

Radio"<br />

IEEE Int. Workshop on Radio-Frequency<br />

Integration Technology (<strong>in</strong>veted), S<strong>in</strong>gapore, SG,<br />

December <strong>2007</strong><br />

J. R. Farserotu<br />

"eHealth"<br />

eHealth, Neuchatel, CH, March <strong>2007</strong><br />

J. R. Farserotu<br />

"International Symposium on Medical Information<br />

and Communication Technology <strong>2007</strong>"<br />

ISMICT 07, Oulu, FI, December <strong>2007</strong><br />

P. Ferrat, C. Gimkiewicz, S. Neukom, Y. Zha,<br />

A. Brenzikofer, Th. Baechler<br />

"Ultra-m<strong>in</strong>iature camera module with omnidirectional<br />

view for collision avoidance"<br />

Workshop ‘Micro Aerial Vehicles: Design, Control<br />

and Navigation’ at IROS conference, San Diego,<br />

US, November <strong>2007</strong><br />

M. Fretz<br />

"Comsol Conference"<br />

Poster Session, Grenoble, FR, October <strong>2007</strong><br />

P. Glocker<br />

"NEMO-07 Anwenderforum"<br />

Micromontageplattform, Frankfurt a.M., DE,<br />

May <strong>2007</strong><br />

S. Graf, P. Schmid, T. Stöckli, N. Schmid,<br />

H. F. Knapp<br />

"Novel Approach of <strong>in</strong>tegrat<strong>in</strong>g microrobotics and<br />

microfluidics <strong>in</strong> cell based assays"<br />

MipTec Poster Session, Basel, CH, May <strong>2007</strong><br />

E. Grenet<br />

"Embedded high dynamic range vision system for<br />

real-time driv<strong>in</strong>g assistance"<br />

Sensorik für Fahrerassistenzsysteme, Heilbronn,<br />

DE, September <strong>2007</strong><br />

C. A. Griffiths, S. Bigot, E. Brousseau, M. Heckele,<br />

J. Nestler, J. Auerswald<br />

"4M <strong>2007</strong> Conference on Multi-Material Micro<br />

Manufacture"<br />

Process Characterisation <strong>in</strong>clud<strong>in</strong>g Process<br />

Cha<strong>in</strong>s, Borovets, BG, October <strong>2007</strong><br />

E. Györvary<br />

"The way to Brazil; The different units <strong>in</strong> Belo; The<br />

aims of <strong>CSEM</strong> Brasil"<br />

Swiss Innovation Academy, Neuchatel, CH, July<br />

and October <strong>2007</strong><br />

E. Györvary<br />

"Life Sciences at <strong>CSEM</strong>"<br />

Innovation Day at FIEMG, Belo Horizonte, M<strong>in</strong>as<br />

Gerais, BR, March <strong>2007</strong><br />

E. Györvary<br />

"Wearable Electronics and Textile Applications"<br />

Textile Sem<strong>in</strong>ar ABIT, São Paolo, BR, March <strong>2007</strong><br />

H. He<strong>in</strong>zelmann<br />

"<strong>CSEM</strong> and <strong>CSEM</strong> Brazil Innovation Center"<br />

Textile Sem<strong>in</strong>ar ABIT, São Paolo, BR, March <strong>2007</strong><br />

115


H. He<strong>in</strong>zelmann<br />

"<strong>CSEM</strong> and <strong>CSEM</strong> Brazil Innovation Center"<br />

Innovation Day at FIEMG, Belo Horizonte, M<strong>in</strong>as<br />

Gerais, BR, March <strong>2007</strong><br />

H. He<strong>in</strong>zelmann<br />

"Nanotechnology meets IEP"<br />

International Executive Program <strong>2007</strong>, INSEAD,<br />

Fonta<strong>in</strong>ebleau, FR<br />

H. He<strong>in</strong>zelmann<br />

"Block Copolymer Lithography"<br />

NordForsk Summer School on Polymer Micro- and<br />

Nano- Fabrication, Palmse, EE, September <strong>2007</strong><br />

H. He<strong>in</strong>zelmann<br />

"Research & Project Management"<br />

NordForsk Summer School on Polymer Micro- and<br />

Nano- Fabrication, Palmse, EE, September <strong>2007</strong><br />

H. He<strong>in</strong>zelmann<br />

"Eng<strong>in</strong>eer<strong>in</strong>g for Life Sciences"<br />

Swiss Society of Biomedical Eng<strong>in</strong>eer<strong>in</strong>g <strong>2007</strong>,<br />

Neuchatel, CH, September <strong>2007</strong><br />

H. He<strong>in</strong>zelmann<br />

"Micro- and Nano- Tools"<br />

Swiss Innovation Academy, Neuchatel, CH, July<br />

and October <strong>2007</strong><br />

T. Heldal, T. Volden, J. Auerswald, H.F. Knapp<br />

"NSTI Nanotech <strong>2007</strong>, 10th Annual<br />

Nanotechnology Conference and Trade Show"<br />

Micro and Nano Fluidics, Santa Clara, US,<br />

May <strong>2007</strong><br />

S. Hene<strong>in</strong><br />

"7th International Conference of the European<br />

Society for Precision Eng<strong>in</strong>eer<strong>in</strong>g &<br />

Nanotechnology"<br />

EUSPEN, Bremen, DE, May <strong>2007</strong><br />

K. Hiller, T. Gessner, J. Nestler, J. Gavillet,<br />

S. Get<strong>in</strong>, E. Quesnel, S. Mart<strong>in</strong>, G. Dellapierre,<br />

J. Soechtig, G. Voir<strong>in</strong>, L. Buergi, J. Auerswald,<br />

H.F. Knapp, S. Ross, S. Bigot, M. Ehrat, A. Lieb,<br />

M.-C. Beckers, D. Dresse<br />

"Smart Systems Integration Conference"<br />

Special Aspects of Integration, Paris, FR,<br />

March <strong>2007</strong><br />

T. H<strong>in</strong>derl<strong>in</strong>g<br />

"Le projet Solar Islands"<br />

Energissima, Bulle, CH, June <strong>2007</strong><br />

116<br />

T. H<strong>in</strong>derl<strong>in</strong>g<br />

"Sensors at <strong>CSEM</strong>"<br />

SIMTech - Jo<strong>in</strong>t Swiss-S<strong>in</strong>gapore Workshop on<br />

Sensors for Harsh Environments, S<strong>in</strong>gapore, SG,<br />

January <strong>2007</strong><br />

A. Hutter<br />

"DAta Systems In Aerospace"<br />

DASIA <strong>2007</strong>, Naples, IT, May-June <strong>2007</strong><br />

R. Kern, et al.<br />

"High Throughput Material Test<strong>in</strong>g Apparatus<br />

HTA-7"<br />

ICOE07, E<strong>in</strong>dhoven, NL, May <strong>2007</strong><br />

G. Kotrotsios<br />

"Environmental monitor<strong>in</strong>g us<strong>in</strong>g ultra low power<br />

wireless communication systems"<br />

Greater Nagoya Initiative, Tsu-City. Mie prefecture,<br />

JP, January-February <strong>2007</strong><br />

G. Kotrotsios<br />

"ResearchTransfer to create Bus<strong>in</strong>ess start-ups"<br />

High-level Conference on Nanotechnologies,<br />

Braga, PT, November <strong>2007</strong><br />

R. Krähenbühl, A.-C. Pliska, R. Bauknecht,<br />

S. Aiterrami, A. Peterhans, A. Stump,<br />

K. Krasnopolski, J. Kunde, C. Bosshard<br />

"CTI day <strong>in</strong> Micro and Nano technologies"<br />

Neuchatel, CH, November 07<br />

Q. Lai, T. Burch, S. Grossmann, A. Stump,<br />

K. Krasnopolski, M. Busse-Grawitz, D. Fengels,<br />

C. Böhler, T. Bragagna, A. He<strong>in</strong>rich, S. Gross,<br />

S. Summer, B. Nussbaumer, G. Boer<br />

"CTI Medtech Award <strong>2007</strong> Nom<strong>in</strong>ee Presentation"<br />

The LEDDT TM - Platform (Laser Easy Drug Delivery<br />

Technology), a novel <strong>in</strong>jection-free method for<br />

<strong>in</strong>traepidermal delivery of large molecular weight<br />

drugs., Bern, CH, September <strong>2007</strong><br />

J. Luprano<br />

"New generation of smart sensors for biochemical<br />

and bioelectrical applications"<br />

pHealth-<strong>2007</strong>, Chalkidiki, GR, June <strong>2007</strong><br />

J. Luprano<br />

"29th Annual International Conference of the IEEE<br />

Eng<strong>in</strong>eer<strong>in</strong>g <strong>in</strong> Medic<strong>in</strong>e and Biology Society"<br />

EMBC<strong>2007</strong>, Lyon, FR, August <strong>2007</strong><br />

J-M. Mayor<br />

"ITER Bus<strong>in</strong>ess Forum"<br />

ITER, Nice, FR, December <strong>2007</strong>


A. Meister<br />

"Fluidic nanoprobe pattern<strong>in</strong>g"<br />

NaPa Day <strong>2007</strong>, Berl<strong>in</strong>, DE, October <strong>2007</strong><br />

J.-L. Nagel<br />

"Biometric face authentication on mobile devices"<br />

Invited Presentation, Conference on Biometrical<br />

Feature Identification and Analysis, Goett<strong>in</strong>gen,<br />

DE, September <strong>2007</strong><br />

J. Nestler, A. Morschhauser, K. Hiller,<br />

J. Auerswald, H.F. Knapp, T. Otto, T. Gessner<br />

"Smart Systems Integration Conference"<br />

Poster Session, Paris, FR, March <strong>2007</strong><br />

J. Nestler, A. Morschhauser, K. Hiller, S. Bigot,<br />

J. Auerswald, J. Gavillet, T. Otto, T. Gessner<br />

"11th International Conference on M<strong>in</strong>iaturized<br />

Systems for Chemistry and Life Sciences<br />

(MicroTAS)"<br />

Microfluidics - Aliquot<strong>in</strong>g,Mix<strong>in</strong>g & Pump<strong>in</strong>g, Paris,<br />

FR, October <strong>2007</strong><br />

V. Neuman<br />

"Bio-Innovation Day <strong>2007</strong>"<br />

BioInnovationDay07, Lausanne, CH,<br />

November <strong>2007</strong><br />

P. Niedermann<br />

"Comlab as a Tool for Industrial MEMS<br />

Development"<br />

MicroNanoFabrication Annual Review Meet<strong>in</strong>g,<br />

Lausanne, CH, May <strong>2007</strong><br />

E. Onillon<br />

"IEEE/ASME International Conference on<br />

Advanced Intelligent Mechatronics"<br />

AIM <strong>2007</strong>, Zurich, CH, September <strong>2007</strong><br />

J. Osmond, G. Isella, D. Chrast<strong>in</strong>a, R. Kaufmann,<br />

H. von Känel<br />

"Ge/Si (100) heterojunction photodiodes fabricated<br />

from material grown by low energy plasma<br />

enhanced chemical vapor deposition"<br />

5th International Conference on Silicon Epitaxy and<br />

Heterostructures (ICSI-5), Marseille, FR, May <strong>2007</strong><br />

S. Pasche, R. Ischer, G. Voir<strong>in</strong>, M. Liley, J. Luprano<br />

"Wearable biosensors for monitor<strong>in</strong>g wound<br />

heal<strong>in</strong>g"<br />

pHealth <strong>2007</strong>, Porto-Carras, GR, June <strong>2007</strong><br />

S. Pasche, R. Ischer, G. Voir<strong>in</strong><br />

"Biochemical sensors at <strong>CSEM</strong>"<br />

Proetex Workshop, Gent, BE, September <strong>2007</strong><br />

S. Pasche, R. Ischer, S. Angeloni, M. Liley,<br />

J. Luprano, G. Voir<strong>in</strong><br />

"Wearable Biosensors for Health Monitor<strong>in</strong>g"<br />

Biosurf VII – Functional Interfaces for Direct<strong>in</strong>g<br />

Biological Response, Zurich, CH, August <strong>2007</strong><br />

A. Perret<br />

"SSI Overview and Perspective – Smart Systems<br />

and Applications"<br />

Euripides Forum, Versailles, FR, June <strong>2007</strong><br />

A. Perret<br />

"HTA General presentation"<br />

SSI Conference, Paris, FR, March <strong>2007</strong><br />

A. Perret<br />

"HTA, Heterogeneous Technology Alliance"<br />

Annual Review Leti, Grenoble, FR, June <strong>2007</strong><br />

A. Perret<br />

"MEMS at <strong>CSEM</strong> - MEMS Fab Brazil"<br />

Innovation Day at FIEMG, Belo Horizonte, M<strong>in</strong>as<br />

Gerais, BR, March <strong>2007</strong><br />

A. Perret<br />

"HTA General Presentation"<br />

Smart System Integration Conference, Paris, FR,<br />

March <strong>2007</strong><br />

A. Perret<br />

"MEMS"<br />

Workshop on MEMS, Belo Horizonte, BR,<br />

March <strong>2007</strong><br />

A. Perret<br />

"Micro-nano technology <strong>in</strong> Western Switzerland"<br />

Development Economique Western Switzerland,<br />

Stuttgart, DE, September <strong>2007</strong><br />

A. Perret<br />

"SSI Overview and Perspective Smart Systems and<br />

Applications"<br />

Euripides Forum, Versailles, FR, June <strong>2007</strong><br />

C. Picker<strong>in</strong>g, M. McNie, C. Reeves, T. Harvey,<br />

T. Ryan, C. Bosshard, H.F. Knapp, G. Schröpfer,<br />

F. von Germar, T. Bauer, P. Janus, P. Gabriec,<br />

C. Moldovan, B. Firtat, A. Richardson<br />

"Smart Systems Integration Conference"<br />

Smart Systems: Design, technologies and<br />

<strong>in</strong>tegration, Paris, FR, March 07<br />

C. Piguet<br />

"Awareness Applications and the Related System<br />

Architectures"<br />

Invited Embedded Tutorial at DATE’07, Nice, FR,<br />

April <strong>2007</strong><br />

117


C. Piguet<br />

"High Level Energy and Power Reduction<br />

Strategies"<br />

Invited Talk at CLEAN Workshop, Munich, DE,<br />

September <strong>2007</strong><br />

C. Piguet<br />

"Histoire des microprocesseurs horlogers"<br />

FTFC Journées Faible Tension Faible<br />

Consommation, Paris, FR, May <strong>2007</strong><br />

C. Piguet<br />

"La conception de SoC pour des réseaux de<br />

capteurs"<br />

FETCH <strong>2007</strong>, Villard de Lans, FR, January <strong>2007</strong><br />

C. Piguet<br />

"Low Power Design <strong>in</strong> Deep Submicron 65 & 45 nm<br />

Technologies"<br />

ICECS’07, Marakesh, MA, December <strong>2007</strong><br />

C. Piguet<br />

"Total Energy and Total Power Reduction at<br />

Architectural Level"<br />

Invited Talk at CLEAN Workshop, Stresa, IT,<br />

March <strong>2007</strong><br />

A.-C. Pliska, R. Bauknecht, R. Krähenbühl,<br />

A. Peterhans, A. Stump, S. Aiterrami, C. Bosshard,<br />

J. Kunde<br />

"Smart Systems Integration Conference"<br />

Microsystems Packag<strong>in</strong>g and System Integration,<br />

Paris, FR, March 07<br />

A.-M. Popa, J. Polesel Maris, R. Pug<strong>in</strong>,<br />

H. He<strong>in</strong>zelmann<br />

"Force spectroscopy <strong>in</strong> liquid media - an AFM study<br />

of nanostructured surfaces functionalized with<br />

responsive molecules"<br />

SAOG, Fribourg, CH, January 2008<br />

A.-M. Popa, J. Polesel Maris, R. Pug<strong>in</strong>,<br />

H. He<strong>in</strong>zelmann<br />

"AFM characterisation of responsive<br />

nanostructured surfaces"<br />

Jo<strong>in</strong>t workshop of the Marie Curie Research and<br />

Tra<strong>in</strong><strong>in</strong>g Networks POLYAMPHI and<br />

BIOPOLYSURF and the ESF EUROCORES<br />

project BIOSONS, Biarritz, FR, February 2008<br />

R. Pug<strong>in</strong><br />

"Nanotechnology and Textiles"<br />

Textile Sem<strong>in</strong>ar ABIT, São Paolo, BR, March <strong>2007</strong><br />

R. Pug<strong>in</strong><br />

"Nanotechnology at <strong>CSEM</strong>"<br />

Innovation Day at FIEMG, Belo Horizonte, M<strong>in</strong>as<br />

Gerais, BR, March <strong>2007</strong><br />

118<br />

M. Ramuz, et al.<br />

"Pattern<strong>in</strong>g of polymer light-emitt<strong>in</strong>g devices by a<br />

pr<strong>in</strong>t<strong>in</strong>g method "<br />

Workshop “Innovations <strong>in</strong> Inkjet Polymers for<br />

Biomaterials and Nanoparticles”, E<strong>in</strong>dhoven, NL,<br />

June <strong>2007</strong><br />

J. Rousselot<br />

"12th IEEE Symposium on Computers and<br />

Communications "<br />

ICC <strong>2007</strong>, Aveiro, PT, July <strong>2007</strong><br />

J. Rousselot<br />

"European Ultra Wide Band Radio Technology<br />

Workshop"<br />

UWB <strong>2007</strong>, Grenoble, FR, May <strong>2007</strong><br />

A. S. Roy, C. C. Enz<br />

"A Charge-Based Compact Flicker Noise Model<br />

Includ<strong>in</strong>g Short-channel Effects"<br />

NSTI Nanotech - Workshop on Compact Model<strong>in</strong>g<br />

(WCM <strong>2007</strong>), Santa Clara, US, May <strong>2007</strong><br />

A. S. Roy, C. C. Enz, J.-M. Sallese<br />

"Theory of Source-Dra<strong>in</strong> Partition<strong>in</strong>g <strong>in</strong> MOSFET"<br />

NSTI Nanotech - Workshop on Compact Model<strong>in</strong>g<br />

(WCM <strong>2007</strong>), Santa Clara, US, May <strong>2007</strong><br />

A. S. Roy, C. C. Enz<br />

"An Analytical Thermal Noise Model of DG<br />

MOSFET and Comparison with Bulk MOSFET"<br />

Int. Conf. on Noise and Fluctuations (ICNF), Tokyo,<br />

JP, September <strong>2007</strong><br />

A. S. Roy, C. C. Enz<br />

"Analytical Noise Model<strong>in</strong>g <strong>in</strong> MOSFET"<br />

Int. Conf. on Noise and Fluctuations (ICNF, Tokyo,<br />

JP, September <strong>2007</strong><br />

P.-F. Rüedi, E. Grenet, F. Lustenberger<br />

"Battery powered high dynamic range vision<br />

system"<br />

ISCAS, New Orleans, US, May <strong>2007</strong><br />

P.-F. Rüedi<br />

"High dynamic range vision sensor for embedded<br />

applications"<br />

Workshop on Image sensors analog and digital onchip<br />

process<strong>in</strong>g, Toulouse, FR, November <strong>2007</strong><br />

P.-F. Rüedi<br />

"High dynamic range vision sensor for embedded<br />

applications"<br />

Image sensor analog and digital on-chip<br />

process<strong>in</strong>g, Toulouse, FR, November <strong>2007</strong>


E. Scolan, V. Monnier, R. Pug<strong>in</strong><br />

"Nanostructured sol-gel surfaces"<br />

Colloque Solgel <strong>2007</strong>, Tours, FR, February <strong>2007</strong><br />

E. Scolan, V. Monnier, R. Pug<strong>in</strong><br />

"Nanostructured sol-gel surfaces"<br />

International Sol-Gel Workshop <strong>2007</strong>, Montpellier,<br />

FR, September <strong>2007</strong><br />

P. Seitz, S. Beer, Y. Delley<br />

"Smart pixel array for the simultaneous detection of<br />

phase and amplitude envelope, enabl<strong>in</strong>g real-time<br />

nanometer-precision OCT"<br />

Frontiers of Electronic Imag<strong>in</strong>g, World of<br />

Photonics <strong>2007</strong>, Munich, DE, June <strong>2007</strong><br />

P. Seitz<br />

"Bus<strong>in</strong>ess Value Creation – The <strong>CSEM</strong> Innovation<br />

Center Model"<br />

ETH Project Presentations for the Official<br />

S<strong>in</strong>gapore Delegation, Zurich, CH, October <strong>2007</strong><br />

P. Seitz<br />

"Change Management"<br />

Swiss Innovation Academy, Neuchatel, CH,<br />

September <strong>2007</strong><br />

P. Seitz<br />

"Creativity"<br />

Swiss Innovation Academy, Neuchatel, CH,<br />

July <strong>2007</strong><br />

P. Seitz<br />

"Das <strong>CSEM</strong> Forschungszentrum für Nanomediz<strong>in</strong><br />

<strong>in</strong> Landquart"<br />

Unternehmertreff im Alpenrhe<strong>in</strong>tal, Maienfeld, CH,<br />

June <strong>2007</strong><br />

P. Seitz<br />

"Didactics, Presentations and Publications"<br />

Swiss Innovation Academy, Neuchatel, CH,<br />

July <strong>2007</strong><br />

P. Seitz<br />

"Europhot – The European Initiative for S<strong>in</strong>gle-<br />

Photon Electronic Imag<strong>in</strong>g"<br />

Europhot Presentation, Unit Head Photonics,<br />

Bruxelles, BE, February <strong>2007</strong><br />

P. Seitz<br />

"Lab-on-a-Chip Us<strong>in</strong>g Organic Semiconductors"<br />

4th Optoelectronic and Photonic W<strong>in</strong>ter School on<br />

Biophotonics, Trento, IT, March <strong>2007</strong><br />

P. Seitz<br />

"Lab-on-a-Chip Us<strong>in</strong>g Organic Semiconductors"<br />

Swiss Innovation Academy, Neuchatel, CH,<br />

July <strong>2007</strong><br />

P. Seitz<br />

"Moderne Halbleiter-Bildsensorik"<br />

Intensive <strong>in</strong>dustrial tra<strong>in</strong><strong>in</strong>g course, Hamburg, DE,<br />

July <strong>2007</strong><br />

P. Seitz<br />

"Nanomedic<strong>in</strong>e <strong>in</strong> Europe and <strong>in</strong> Switzerland"<br />

Biomedical Workshop, Inselspital, Bern, CH,<br />

February <strong>2007</strong><br />

P. Seitz<br />

"Nanomediz<strong>in</strong> – Wissenschaftsgebiet mit enormem<br />

Zukunftspotential"<br />

<strong>CSEM</strong> Innovation Day, Zurich, CH, November <strong>2007</strong><br />

P. Seitz<br />

"Optical Biochips"<br />

4th Optoelectronic and Photonic W<strong>in</strong>ter School on<br />

Biophotonics, Trento, IT, March <strong>2007</strong><br />

P. Seitz<br />

"Optical Biochips – Basics"<br />

Swiss Innovation Academy, Neuchatel, CH,<br />

July <strong>2007</strong><br />

P. Seitz<br />

"Photon-Noise Limited Distance Resolution of<br />

Optical Metrology Methods"<br />

SPIE Conference on Optical Metrology, Munich,<br />

DE, June <strong>2007</strong><br />

P. Seitz<br />

"The Art of Happ<strong>in</strong>ess at Work"<br />

Swiss Innovation Academy, Neuchatel, CH,<br />

September <strong>2007</strong><br />

P. Seitz<br />

"The Grand Challenges of Photonics (General<br />

Chair and Moderator)"<br />

EOS Conference on Photonics, World of<br />

Photonics <strong>2007</strong>, Munich, DE, June <strong>2007</strong><br />

P. Seitz<br />

"The history of optical time-of-flight techniques for<br />

3D imag<strong>in</strong>g "<br />

Frontiers of Electronic Imag<strong>in</strong>g, World of<br />

Photonics <strong>2007</strong>, Munich, DE, June <strong>2007</strong><br />

P. Seitz<br />

"Tiens, vous voulez faire une carrière scientifique"<br />

Atelier du Laboratoire Européen Associé en<br />

Microtechnique, Arc-et-Senans, FR,<br />

September <strong>2007</strong><br />

J. Solà I Caros<br />

"4th International Workshop on Wearable and<br />

Implantable Body Sensor Networks"<br />

BSN 07, Aachen, DE, March <strong>2007</strong><br />

119


J. Solà I Caros<br />

"Annual meet<strong>in</strong>g of the Swiss Society of Biomedical<br />

Eng<strong>in</strong>eer<strong>in</strong>g"<br />

SSBE <strong>2007</strong>, Neuchatel, CH, September <strong>2007</strong><br />

R. P. Stanley<br />

Workshop on Photonic Crystals, Prague, CZ,<br />

April <strong>2007</strong><br />

R. Steiger<br />

"New devices based on nanoparticulate,<br />

mesoporous metal oxide coat<strong>in</strong>gs"<br />

International Conference on Nanotechnology and<br />

Advanced Materials, Hong Kong, CN,<br />

December <strong>2007</strong><br />

G. Suarez, S. Pasche, G. Voir<strong>in</strong>, Y. Leterrier,<br />

A. Sayah<br />

"Lab-On-Chip for Analysis and Diagnostics"<br />

CCMX First Annual Meet<strong>in</strong>g, Fribourg, CH,<br />

March <strong>2007</strong><br />

R. Vetter<br />

"9th Meet<strong>in</strong>g of the European Federation of<br />

Autonomic Societies 18th Meet<strong>in</strong>g of the American<br />

Autonomic Society 2nd Jo<strong>in</strong>t Meet<strong>in</strong>g EFAS - AAS"<br />

EFAS <strong>2007</strong>, Vienne, AT, October <strong>2007</strong><br />

G. Voir<strong>in</strong>, R. Ischer, M. Ramuz, L. Bürgi,<br />

R. P. Stanley, D. Leuenberger, J. Söchtig,<br />

C. W<strong>in</strong>newisser<br />

"SEMOFS: Micro-Optical Platform for Plasmon<br />

Sens<strong>in</strong>g"<br />

SEMOFS Workshop, Borovets, BG, October <strong>2007</strong><br />

G. Voir<strong>in</strong>, J. Söchtig, L. Buergi, R. P. Stanley,<br />

S. Get<strong>in</strong>, E. Quesnel, B. Fillon, J. Gavillet, S. Bigot,<br />

M. Ehrat, A. Lieb<br />

"m-Optics technologies"<br />

SEMOFS Workshop, Borovets, BG, October <strong>2007</strong><br />

120<br />

G. Voir<strong>in</strong>, G. Dudnik, J. Luprano<br />

"Advanced e-textiles for firefighter and civilian<br />

victims"<br />

3rd Global Plastic Electronics Conference &<br />

Showcase, Plastic Electronics Foundation, DE,<br />

October <strong>2007</strong><br />

B. Wenger, M.-H. Song, N Tétreault, R. H. Friend<br />

"Tunability of flexible polymer distributed feedback<br />

lasers"<br />

International Symposium on Ultrafast- and Nano-<br />

Optics, Beij<strong>in</strong>g, CN, October <strong>2007</strong><br />

C. W<strong>in</strong>newisser<br />

"Integrated Optoelectronic Systems based on<br />

Solution Processed Polymeric Semiconductor<br />

Materials"<br />

NanoEurope <strong>2007</strong>, St. Gallen, CH,<br />

September <strong>2007</strong><br />

C. W<strong>in</strong>newisser<br />

"Towards Integrated Photonic Systems based on<br />

organic Semiconductor Materials"<br />

Plastic Electronics <strong>2007</strong>, Frankfurt, DE,<br />

October <strong>2007</strong><br />

Q. Xu, J. R. Farserotu, J. Ayadi, J. F. M. Gerrits<br />

"18th Annual IEEE International Symposium, on<br />

Personal, Indoor and Mobile Radio<br />

Communications"<br />

IEEE PIMRC’07, Athens, GR, September <strong>2007</strong><br />

H. Zhan<br />

"10th International Symposium on Wireless<br />

Personal Multimedia Communications"<br />

WPMC 07, Jaipur, IN, December <strong>2007</strong>


Competence Centre for Materials Science and Technology (CCMX) and National Center<br />

of Competence <strong>in</strong> Research (NCCR) Projects<br />

CCMX-MMNS Lab-on-a-chip for Analysis and Diagnostics<br />

NCCR Module 5 – Functional Materials by Hierarchical Self-Assembly (proposal for last f<strong>in</strong>anc<strong>in</strong>g round)<br />

Swiss Commission for Technology and Innovation (CTI)<br />

8704.1 NMPP-NM ALDEBARAN A low-power 2.4 GHz CMOS radio transceiver IC for the Wibree<br />

standard<br />

8035.2 ARGUS Hoch<strong>in</strong>tegrierter 1.3 Mpixel Bildsensor mit hoher optischer Sensitivität<br />

für e<strong>in</strong>e Hochgeschw<strong>in</strong>digkeits-Kameraanwendung<br />

8759.1 EPRP-IW COATING ENGINEERING Eng<strong>in</strong>eer<strong>in</strong>g of th<strong>in</strong> film crystall<strong>in</strong>ity of wear resistant coat<strong>in</strong>gs us<strong>in</strong>g a<br />

comb<strong>in</strong>ation of PECVD and PVD plasma technology<br />

7796.1 DIXI Digital Phase Contrast Imag<strong>in</strong>g for Medical DiagnosticsOK<br />

8272.1 NMPP-NM DMS Digital motion sensor<br />

8039.2 NMPP-NM DOSENS II Development of a new dissolved oxygen sensor for activated sludge<br />

monitor<strong>in</strong>g based on a membrane-less, self-calibrat<strong>in</strong>g, self-clean<strong>in</strong>g<br />

microdisk array sens<strong>in</strong>g electrode<br />

8627.2 El PICA Custom designed organic electrolum<strong>in</strong>escent pictograms for<br />

pushbutton applications<br />

9032.1 PFIW-IW FMM Feed<strong>in</strong>g Module for Microfactory<br />

8227.1 HELIOCT Entwicklung e<strong>in</strong>er neuartigen Mikroskopie-Technologie zur 3D<br />

Bildgebung <strong>in</strong> Echtzeit<br />

8247.2 LSPP-LS IOS iOS– Development of an implant to place on the bones of people<br />

touched by the Park<strong>in</strong>son disease <strong>in</strong> order to decrease the symptoms<br />

8018.2 LSPP-LS LASETIME The LEDDT – Platform (Laser Easy Drug Delivery Technology), a<br />

novel <strong>in</strong>jection-free method for <strong>in</strong>traepidermal delivery of large<br />

molecular weight drugs.<br />

7963.1 LONGLITE Ag<strong>in</strong>g mechanisms and numerical device physics of organic LEDs<br />

7482.2 MEMSORS Micro-mach<strong>in</strong>ed Electrostatic Sensors for acoustic Sensors<br />

8452.1 NMPP-NM MICROS Entwicklung e<strong>in</strong>es neuartigen m<strong>in</strong>iaturisierten L<strong>in</strong>earencoders<br />

optimiert für den E<strong>in</strong>satz mit lasergeschriebenen Massstäben<br />

8325.1 NIMROD Nicht-<strong>in</strong>vasive Messung der Ret<strong>in</strong>a ohne Dilatation<br />

8648.1 PERTEST Pre-Employment and Rehabilitation Tester<br />

7474.2 NMPP-NM POLITE New electrolum<strong>in</strong>escent polymers for large area light<strong>in</strong>g applications<br />

8037.2 NMPP-NM POWERPACK Low-cost packages for ultrabright light sources<br />

9146.2 PFIW-IW PTMR Pseudo Tactile Microassembly Robotics<br />

9119.1 ROVARP Rotationsvariable Farbpigmente<br />

8621.2 SCL ll Smart Compliance Labels<br />

121


8241.2 DCPP-NM SOLID Solid on Liquid Deposition<br />

8817.1 NMPP-NM VENUS An <strong>in</strong>tegrated radio solution for ultra low-power wireless wristwatches,<br />

automotive remote-controls, and wireless sensor network<br />

applications<br />

7843.2 NMPP-NM WOME Study and conception of a low power, reconfigurable OFDM modem<br />

for multimode wireless broadband communications. WOME : Wireless<br />

OFDM Multimode Eng<strong>in</strong>e<br />

7804.1 XCAN Röntgen-Detektoren mit E<strong>in</strong>zelphoton-Detektion<br />

European Community Projects<br />

FP6 – NMP µSAPIENT Synergetic Process Integration for Efficient Micro and Nano<br />

Manufacture<br />

FP6 – IST ARTTS Action Recognition and Track<strong>in</strong>g based on Time-of-flight Sensors<br />

FP6 – IST MOBILITY BIOPOLYSURF Eng<strong>in</strong>eer<strong>in</strong>g advanced polymeric surfaces for smart systems <strong>in</strong><br />

biomedic<strong>in</strong>e, biology, material science and nanotechnology: A<br />

crossdiscipl<strong>in</strong>ary approach of biology, chemistry and physics<br />

FP6 – IST NMP BIOTEX Bio-sens<strong>in</strong>g Textile for Health Management<br />

FP6 – SUSTDEV HOLISTIC Holistic Optimisation Lead<strong>in</strong>g to Integration of Susta<strong>in</strong>able<br />

Technologies <strong>in</strong> Communities<br />

FP6 – IST CRUISE CReat<strong>in</strong>g Ubiquitous Intelligent Sens<strong>in</strong>g Environments<br />

FP6 – NMP DIPNA Development of an Integrated Platform for Nanoparticle Analysis to<br />

verify their possible toxicity & the eco-toxicity<br />

FP6 – INFRASTRUCTURES EARLINET-ASOS European Aerosol Research Lidar Network: Advanced Susta<strong>in</strong>able<br />

Observation System<br />

FP6 – IST e-SENSE Captur<strong>in</strong>g Ambient Intelligence for Mobile Communications through<br />

Wireless Sensor Networks<br />

FP6 – IST GOODFOOD Food Safety and Quality Monitor<strong>in</strong>g with Microsystems<br />

FP6 – NMP HYDROMEL Hybrid Ultra-Precision Manufactur<strong>in</strong>g Process Based on Positional-<br />

and Self-assembly for Complex Micro-Products<br />

FP6 – NEST IDEA Imag<strong>in</strong>g device for electrophysiological activity monitor<strong>in</strong>g of neuronal<br />

cell cultures<br />

FP6 – IST INTEGRAMplus Integrated MNT Platforms & Services<br />

Interreg LEA LEA-2006-<strong>2007</strong> Laboratoire Européen Associé pour la formation et le transfert de la<br />

technologie dans le doma<strong>in</strong>e de la Microtechnique<br />

FP6 – IST MAGNET BEYOND My personal Adaptive Global Network Beyond<br />

FP6 – SME MAP2 Micro-Architectural Power management: Methods, Algorithms and<br />

Prototype tools<br />

FP6 – NMP MEDITRANS Targeted delivery of nanomedic<strong>in</strong>e<br />

COST MIE-OPIC Mie Resonances <strong>in</strong> Opal Photonic Crystals<br />

FP6 – IST MINAMI Micro-Nano <strong>in</strong>tegrated platform for transverse Ambient Intelligence<br />

applications<br />

122


FP6 – INFRASTRUCTURES MNT Europe Staircase towards European MNT Infrastructure Integration<br />

FP6 – IST MUFLY Fully Autonomous Micro Helicopter<br />

FP6 – IST NANOHAND Micro-nano System for Automatic Handl<strong>in</strong>g of Nano-objects<br />

FP6 – NMP NANOSAFE2 Safe production and use of nanomaterials<br />

FP6 – NMP NANOSECURE Advanced nanotechnological detection and detoxification of harmful<br />

airborne substances for improved public security<br />

FP6 – NMP NAPA Emerg<strong>in</strong>g nanopattern<strong>in</strong>g method<br />

FP6 – NMP NAPOLYDE Nano-structured polymer deposition processes for mass production of<br />

<strong>in</strong>novative systems for energy production & control and for smart<br />

devices<br />

FP6 – IST NEMO EU Network of Excellence: Micro-Optics<br />

FP6 – NMP NEWBONE Development of load-bear<strong>in</strong>g fibre re<strong>in</strong>forced composite based<br />

nonmetallic biomimetic bone implant<br />

FP6 – INFRASTRUCTURES OPTICON Optical Infrared Coord<strong>in</strong>ation Network for Astronomy<br />

FP6 – IST Phodye New Photonic systems on a chip based on dyes for sensor<br />

applications scalable at wafer fabrication<br />

FP6 – IST PLASMO-NANO-DEVICES Surface plasmon nanodevices – Towards sub-wavelength<br />

m<strong>in</strong>iaturization of optical <strong>in</strong>terconnections and photonic components<br />

FP6 – IST PLEAS Plasmon Enhanced Photonics<br />

FP6 – IST PROETEX Protection e-Textiles: MicroNanoStructured fibre systems for<br />

Emergency- Disaster Wear<br />

FP6 – IST PULSERS Pervasive Ultrawideband Low Spectral Energy Radio Systems<br />

FP6 – IST ROLLED Roll-to-roll manufactur<strong>in</strong>g technology for flexible OLED devices and<br />

arbitrary size and shape displays<br />

FP6 – IST SCIER Sensor and Comput<strong>in</strong>g Infrastructure for Environmental Risks<br />

FP6 – SUSTDEV SCOUT-O3 Stratospheric-climate l<strong>in</strong>ks with emphasis on the UTLS (SCOUT-O3)-<br />

EC 505390-GOCE-CT-2004<br />

FP6 – IST NMP SEMOFS Surface enhanced micro optical fluidic systems<br />

FP6 – IST NMP SMARTHEALTH Smart Integrated Biodiagnostic Systems for Healthcare<br />

FP6 – IST WASP Wirelessly Accessible Sensor Populations<br />

FP6 – AEROSPACE WISE Integrated wireless sens<strong>in</strong>g<br />

European Space Agency (ESA), European Southern Observatory (ESO) and<br />

Astrophysical Instrument Projects<br />

ESA Projects<br />

GSTP Laser Diode caracterisation at 779 nm (ESA Technological Program GSTP-4)<br />

LIDAR PRN Development of Pseudo-Random Noise cont<strong>in</strong>uous-wave Lidar Prototype (ESA Technological Program<br />

GSTP-4)<br />

123


LISA-PAAM Development, demonstration manufactur<strong>in</strong>g and test of a closed loop controlled, pico-radians resolution, tiltmirror,<br />

as “Po<strong>in</strong>t Ahead Angle Mechanism” for the ESA LISA-LPT<br />

LTMS-2 Long Term Medical Survey system ground prototype<br />

OSCAR Ultrastable Atomic Beam Clock for Telecom Space Applications and Long-Term Space Missions (ESA<br />

Technological Program ARTES-5)<br />

OSCC Development of an Optically Pumped Space Cesium Clock (ESA Technological Program ARTES-5)<br />

SHM Development of Space Hydrogen Maser for ACES<br />

SPHERE Reaction sphere for attitude control<br />

SPHM Design Consolidation, Industrialisation and Lifetime Qualification of a Physics Package for a Passive<br />

Hydrogen Maser (PHM) (Galileo System Test Bed – Version 2, GSTB-V2)<br />

ESO Projects<br />

ELT-M5-FSU Development, demonstrator manufactur<strong>in</strong>g and test of a Field Stabilisation Unit (FSU) for the M5 mirror on<br />

the future ELT (Extremely Large Telescope, 40 m) of ESO<br />

PRIMA-DDL Systems eng<strong>in</strong>eer<strong>in</strong>g for the development, manufactur<strong>in</strong>g, test and <strong>in</strong>tegration of a Differential Delay L<strong>in</strong>e<br />

(DDL) for the PRIMA <strong>in</strong>strument of the ESO-VLT (Very Large Telescope) <strong>in</strong>terferometer l<strong>in</strong>e at Cerro<br />

Paranal, Chile<br />

Astrophysics Projects<br />

EMIR-DTU Development, manufactur<strong>in</strong>g and test of a Detector Translation Unit (DTU) for the EMIR <strong>in</strong>strument on the<br />

Gran Canaries Telescope (GTC) of Spa<strong>in</strong> (IAC, Instituto de Astrofisica de Canaries)<br />

MOSFIRE CSU Development, manufactur<strong>in</strong>g, test and <strong>in</strong>tegration of a Configurable Slit mask Unit for the Multi-Object<br />

Spectrometer for Infra-Red Exploration Instrument (MOSFIRE) to be mounted on the W.M. Keck Observatory<br />

Telescope, Hawaii USA<br />

Industrial Property<br />

Creativity<br />

In <strong>2007</strong>, 34 <strong>in</strong>vention reports were submitted for exam<strong>in</strong>ation.<br />

Patent portfolio<br />

<strong>CSEM</strong> <strong>in</strong>ventions have led to 23 patent applications <strong>in</strong> <strong>2007</strong> (13 regular applications and 10 US provisional applications). The patent<br />

portfolio has been further enhanced by the extension of different countries of 21 patent files based on prior patent applications.<br />

Collaboration with Research Institutes and Universities<br />

University Institute Professor Field of collaboration<br />

CEA LETI J.-R. Lequepeys Leakage reduction, processor<br />

EPF Lausanne Advanced Photonics Laboratory R. Salathé Biochemical Nanofactory<br />

EPF Lausanne CMI Center of MicroNanotechnology C. Hibert Etch<strong>in</strong>g and nanofabrication<br />

EPF Lausanne CSI G. De Micheli CCMX leakage reduction<br />

EPF Lausanne Institut de chimie physique H. Vogel Fluorescent Nanoparticles<br />

EPF Lausanne Laboratoire de microsystèmes 2 M. Gijs Lab-On-A-Chip<br />

EPF Lausanne Laboratory for regenerative medic<strong>in</strong>e<br />

and pharmacobiology<br />

124<br />

J. Hubbell Generic scavenger powered DSPbased<br />

SoC for emerg<strong>in</strong>g implantable<br />

biosensors and bioactuators<br />

EPF Lausanne LAP P. Ienne Processor


University Institute Professor Field of collaboration<br />

EPF Lausanne LEG M. Declercq Medical, processor<br />

EPF Lausanne LPM2 P. Ryser Medical, processor<br />

EPF Lausanne LSM Y. Leblebici CCMX leakage reduction<br />

EPF Lausanne Nanoeng<strong>in</strong>eer<strong>in</strong>g J. Brugger Nanoscale structur<strong>in</strong>g,<br />

nanofabrication<br />

EPF Lausanne Nanostructur<strong>in</strong>g Research Group P. Hoffmann Block copolymer self-assembly.<br />

Design of templated and chemically<br />

modified surfaces<br />

EPF Lausanne STI-LMIS 4 P. Renaud Superparamagnetic Nanoparticles<br />

EPF Lausanne STI-IMX-Ceramics P. Muralt Sensors<br />

EPF Lausanne STI-IMX-LTP H. Hofmann Ceramics<br />

ETH Zurich BioInterfaceGroup M. Textor Parylene<br />

ETH Zurich Department of electrical eng<strong>in</strong>eer<strong>in</strong>g J. Vörös AFM on cells<br />

ETH Zurich Department of materials H. Hall-Bozic Biomaterials<br />

ETH Zurich Institut für Atmosphäre und Klima T. Peter Lidar Measurements from Highaltitude<br />

aircraft<br />

ETH Zurich Institute of Mechanical Systems E. Mazza Reliability of Silicon MEMS<br />

ETH Zurich Laboratorium für Organische Chemie F. Diederich Dendrimer self-assembly<br />

ETH Zurich Laboratory for Surface Science and<br />

Technology<br />

N.D. Spencer,<br />

M. Textor<br />

Polymer nanostructuration<br />

ETH Zurich Nanotechnology Group A. Stemmer Automated Cell Injection<br />

HE – ARC Microtechnique H. Keppner Parylene<br />

TU Berl<strong>in</strong> / IZM Berl<strong>in</strong> Micro Materials Centers Berl<strong>in</strong> B. Michel Reliability of Silicon MEMS<br />

Uni Ulm Institut für Mikro- und Nanomaterialien H. Fecht Reliability of MEMS<br />

University Hospital<br />

Zurich<br />

University Hospital<br />

Zurich<br />

University Hospital<br />

Zurich<br />

Neonatology M. Wolf Near <strong>in</strong>frared spectroscopy<br />

Nuclear Medic<strong>in</strong>e B. Weber Bra<strong>in</strong> imag<strong>in</strong>g and OCT<br />

Nuclear Medic<strong>in</strong>e A. Buck Fluorescent Probes<br />

University of Mulhouse Institut de Chimie des surfaces et<br />

<strong>in</strong>terfaces ICSI<br />

University of Neuchatel IMT A. Neels,<br />

H. Stoeckli-Evans<br />

G. Reiter Polymers self-assembly<br />

Crystallography<br />

University of Neuchatel IMT H.-P. Herzig Packag<strong>in</strong>g<br />

University of Neuchatel IMT N. F. de Rooij MEMS<br />

University of Neuchatel IMT N. F. de Rooij Digital motion sensor<br />

125


University Institute Professor Field of collaboration<br />

University of Neuchatel IMT P.-A. Far<strong>in</strong>e Leakage reduction<br />

University of Neuchatel IMT, SAMLAB M. Koudelka-Hep Micro-electrode arrays<br />

University of Neuchatel Institut d’Hydrogéologie E. Verrecchia NP screen<strong>in</strong>g by mass spectroscopy<br />

University of Neuchatel Institut de Microtechnique T. Bürgi Surface chemistry characterization by<br />

IR based spectroscopy<br />

University of Neuchatel Institut de Zoologie (Parasitologie) B. Betschart Cell biology<br />

University of Ulm Inorganic Chemistry I N. Hüs<strong>in</strong>g Mesoporous sol-gel th<strong>in</strong> films<br />

Vienna University of<br />

Technology<br />

Vienna University of<br />

Technology<br />

126<br />

Applied <strong>in</strong>organic chemistry group of<br />

the <strong>in</strong>stitute of material chemistry<br />

U. Schubert Sol-gel processes<br />

Institute of Materials Chemistry U. Schubert Metallic nanoparticles doped<br />

nonporous layers<br />

ZHW CCP H. Schwarzenbach PLEDs<br />

Teach<strong>in</strong>g<br />

Title of lecture Context Location<br />

J. Auerswald Werkstoffe der Elektrotechnik Werkstoffkunde Vorlesung HSLU T&A, Luzern<br />

N. Blanc<br />

C. Bosshard<br />

Méthodes de détection optique Institut de Microélectronique et<br />

Microsystèmes<br />

Photo & Mach<strong>in</strong>e Vision Institute of Geodesy and<br />

Photogrammetry<br />

EPF Lausanne<br />

ETH Zurich<br />

Assembly and Packag<strong>in</strong>g Swiss Innovation Academy <strong>CSEM</strong> Alpnach<br />

Nonl<strong>in</strong>ear Optics ETH Zurich Zurich<br />

(Non)l<strong>in</strong>ear Optical Spectroscopy:<br />

Basics and Applications<br />

ETH Zurich Zurich<br />

L. Bürgi Organic Optoelectronics Swiss Foundation for Research and<br />

Microtechnology (FSRM)<br />

Summerschool “Highlights <strong>in</strong><br />

Microtechnology" 2005<br />

E. Charbon<br />

and P. Seitz<br />

A. Dommann<br />

Modern solid-state image sens<strong>in</strong>g Master Program <strong>in</strong> Electrical<br />

Eng<strong>in</strong>eer<strong>in</strong>g<br />

Ag<strong>in</strong>g measurements on<br />

microstructures<br />

Neuchatel<br />

EPF Lausanne<br />

BeNeFri - HRXRD Workshop <strong>CSEM</strong> Neuchatel<br />

MEMS technologies Swiss Innovation Academay <strong>CSEM</strong> Neuchatel<br />

Coat<strong>in</strong>g Technologies Universitärer MNT-Master Dornbirn<br />

Coat<strong>in</strong>g Technologies and X-Ray<br />

Analysis<br />

High Resolution X-Ray Diffraction on<br />

th<strong>in</strong> films<br />

MNT-Masterstudiengang Dübendorf<br />

CCMX-PhD Sem<strong>in</strong>ar Luzern<br />

C. C. Enz Advanced Analog and RF IC Design I Master <strong>in</strong> Microelectronics EPF Lausanne


C. C. Enz<br />

J. R. Farserotu<br />

Title of lecture Context Location<br />

Advanced Analog and RF IC Design II Master <strong>in</strong> Microelectronics EPF Lausanne<br />

MOS Transistor Model<strong>in</strong>g for Low-<br />

Voltage and Low-Power Circuit Design<br />

Trade-offs <strong>in</strong> Design<strong>in</strong>g LP-LV RF<br />

Transceivers <strong>in</strong> Standard Digital<br />

CMOS<br />

MOS Transistor Model<strong>in</strong>g <strong>in</strong> Deep<br />

Submicron<br />

MOS Transistor Model<strong>in</strong>g for RF IC<br />

Design<br />

Low-Frequency Noise Reduction<br />

Techniques<br />

Low-Voltage, Low-Power Analog<br />

CMOS IC Design<br />

Low-Voltage, Low-Power Analog<br />

CMOS IC Design<br />

EPF Lausanne<br />

EPF Lausanne<br />

Practical Aspects <strong>in</strong> Mixed-Mode ICs EPF Lausanne<br />

RF Analog IC Design EPF Lausanne<br />

Low-Noise, Low-Offset Analog IC<br />

Design<br />

High-Frequency Noise Low-Noise, Low-Offset Analog IC<br />

Design<br />

Satellite Communication System and<br />

Networks<br />

Executive Master <strong>in</strong> eGovernance,<br />

eGov<br />

chargé de cours, systèmes de<br />

communication and Space Technology<br />

Eservices from the sky and satellite<br />

systems 18 July <strong>2007</strong><br />

EPF Lausanne<br />

EPF Lausanne<br />

EPF Lausanne<br />

EPF Lausanne<br />

RF signals and test 18 April <strong>2007</strong> FSRM, Neuchatel<br />

E. Györvary The way to Brazil – The different units<br />

<strong>in</strong> Belo Horizonte – The aims of <strong>CSEM</strong><br />

Brazil<br />

H. He<strong>in</strong>zelmann<br />

S. Hene<strong>in</strong><br />

Swiss Innovation Academy <strong>CSEM</strong> Neuchatel<br />

Micro-and Nano Tools Swiss Innovation Academy <strong>CSEM</strong> Neuchatel<br />

Research & Project Management NordForsk Summer School on<br />

Polymer Micro- and Nano- Fabrication<br />

Block Copolymer Lithography NordForsk Summer School on<br />

Polymer Micro- and Nano- Fabrication<br />

Construction (Precision Mach<strong>in</strong>e<br />

Design)<br />

Composants Microtechnques<br />

(Microtechnoloy Components)<br />

Flexure-based Mechanisms for High<br />

Precision<br />

Students <strong>in</strong> Microtechnology, 1st year<br />

(2 hours/week)<br />

Students <strong>in</strong> Microtechnology, 2nd and<br />

3rd year (4 hours/week)<br />

4 hours Tutorial <strong>in</strong> the frame of the 7th<br />

International Conference of the<br />

European Society for Precision<br />

Eng<strong>in</strong>eer<strong>in</strong>g & Nanotechnology<br />

Tall<strong>in</strong>n, Estonia<br />

Tall<strong>in</strong>n, Estonia<br />

Bern University of<br />

Applied Science<br />

Bern University of<br />

Applied Science<br />

Bremen, Germany<br />

Conception des guidages flexibles Formation cont<strong>in</strong>ue FSRM, Neuchatel<br />

H. F. Knapp Microfluidics Swiss Innovation Academy Lecture <strong>CSEM</strong> Neuchatel<br />

M. Liley<br />

AFM for Life Sciences Swiss Innovation Academy <strong>CSEM</strong> Neuchatel<br />

AFM for Life Sciences Summer School Highlights <strong>in</strong><br />

Microtechnology<br />

Neuchatel<br />

127


128<br />

Title of lecture Context Location<br />

R&D with Industry: what makes a good<br />

project<br />

3rd BioPolySurf Summer School Ovronnaz<br />

M. Liley Nanotoxicology Masters <strong>in</strong> nanotechnology University of Neuchatel<br />

A. Meister<br />

P. Niedermann<br />

Fluidic nanopattern<strong>in</strong>g Doctoral course MEMS and<br />

nanotechnology<br />

Nanoscale dispens<strong>in</strong>g of ultrasmall<br />

droplets<br />

Nanoscale dispens<strong>in</strong>g of ultrasmall<br />

s<strong>in</strong>gle droplets<br />

EPF Lausanne<br />

3rd BioPolySurf Summer School Ovronnaz<br />

PANAMA summer school Toulouse, France<br />

Project presentation Swiss Innovation Academy <strong>CSEM</strong> Neuchatel<br />

Process def<strong>in</strong>ition and realisation Swiss Innovation Academy <strong>CSEM</strong> Neuchatel<br />

T. Overstolz Optical Switch Characterization Swiss Innovation Academy <strong>CSEM</strong> Neuchatel<br />

C. Piguet<br />

Design for Leakage Reduction Advanced CMOS IC Design EPF Lausanne<br />

Microélectronique pour Systèmes sur<br />

Chips<br />

Evolution de la microélectronique et<br />

SoC<br />

EPF Lausanne<br />

HES-SO EIF<br />

Microelectronic Technology ALaRI Course on Embedded Systems University of Lugano<br />

Ultra-Low Power Circuit Design University of Neuchatel<br />

Digital IC and SoC Design University of Neuchatel<br />

A.-C. Pliska Integration <strong>in</strong> Microelectronics<br />

Packag<strong>in</strong>g<br />

E. Scolan Sol-gel made nanoporous layers for<br />

sens<strong>in</strong>g applications<br />

P. Seitz<br />

Swiss Innovation Academy <strong>CSEM</strong> Alpnach<br />

EU-project NAPOLYDE Midterm<br />

workshop<br />

Entrepreneurship Master Program <strong>in</strong> Micro and Nano<br />

Sciences<br />

Solid-state image sensors Master Program <strong>in</strong> Micro and Nano<br />

Sciences<br />

Management des Projets R&D Bachelor Program <strong>in</strong> Micro and Nano<br />

Sciences<br />

G. Sp<strong>in</strong>ola IC Package Design & Reliability with<br />

CAE<br />

C. Urban<br />

CEA, Grenoble, France<br />

University of Neuchatel<br />

University of Neuchatel<br />

University of Neuchatel<br />

Swiss Innovation Academy <strong>CSEM</strong> Alpnach<br />

Méthodes de détection optique Institut de Microélectronique et<br />

Microsystèmes<br />

Photo & Mach<strong>in</strong>e Vision Institute of Geodesy and<br />

Photogrammetry<br />

EPF Lausanne<br />

ETH Zurich<br />

M. Wannemacher Informationssysteme Bachelor Course HSLU T&A, Luzern


Title of lecture Context Location<br />

C. W<strong>in</strong>newisser Polymer Optoelectronic Technologies<br />

and their Applications<br />

One day workshop with<strong>in</strong> Swiss<br />

Foundation for Research and<br />

Microtechnology (FSRM) Course<br />

Series<br />

R. Wyss Digitale Signalverarbeitung FH Course HSLU T&A, Luzern<br />

Theses<br />

PhD Degrees Awarded <strong>in</strong> <strong>2007</strong><br />

Name University Title<br />

C. Schuster University of Neuchatel Leakage aware digital design optimization for m<strong>in</strong>imal total power<br />

consumption <strong>in</strong> nanometer CMOS technologies<br />

<strong>CSEM</strong> Employees carry<strong>in</strong>g out a PhD<br />

Name Professor / University Theme / <strong>CSEM</strong> Unit Start year<br />

K. Ali P. Fua / EPF Lausanne Tra<strong>in</strong><strong>in</strong>g embedded vision systems / Microelectronics <strong>2007</strong><br />

Zurich<br />

B. Banerjee C.C. Enz / EPF Lausanne Reconfigurable baseband architecture for digital radio<br />

/ Microelectronics<br />

J. Chabloz C.C. Enz / EPF Lausanne Low power receiver us<strong>in</strong>g RF-MEMS -analog IC design<br />

/ Microelectronics<br />

M. Contaldo C.C. Enz / EPF Lausanne Low-Power MEMS based CMOS Radio Transmitter<br />

Architectures / Microelectronics<br />

L. Davo<strong>in</strong>e H.-P. Herzig / University of Neuchatel Organic Coupled Subwavelength Devices / Photonics <strong>2007</strong><br />

M’H. El Mechat H.-A. Loeliger / ETH Zurich Exam<strong>in</strong>ation of modern modulation schemes for future<br />

3D-TOF cameras / Photonics<br />

M. Fretz H.-P. Herzig / University of Neuchatel Flip-chip bond technologies for System-<strong>in</strong>-Packages<br />

/ Microrobotics<br />

S. Graf A. Stemmer / ETH Zurich Automated cell <strong>in</strong>jection system / Microrobotics <strong>2007</strong><br />

M. Guillaumée B. Deveaud-Plédrand / EPF Lausanne Surface plasmons / Nanotechnology & Life Sciences 2006<br />

B. Kheradman C. Piguet / <strong>CSEM</strong><br />

Y. Leblebici / EPFL<br />

Process variations and leakage current <strong>in</strong> digital circuits<br />

/ Microelectronics<br />

M. Kle<strong>in</strong> J. Brugger / EPF Lausanne Polymer templated nanopattern<strong>in</strong>g for MEMS<br />

applications / Nanotechnology & Life Sciences<br />

R. Lockhart P. Renaud / EPF Lausanne MEMS programmable diffraction grat<strong>in</strong>gs<br />

/ Nanotechnology & Life Sciences<br />

V. Longchamp F. Mondada / EPF Lausanne<br />

A. Mart<strong>in</strong>oli / EPF Lausanne<br />

Planatary visual exploration with a team of mobil robots<br />

/ Microrobotics<br />

A.-M. Popa J.A. Hubbell / EPF Lausanne Design, characterization and applications of stimuli<br />

responsive surfaces based on macromolecules<br />

/ Nanotechnology & Life Sciences<br />

J. Nüesch P. Seitz / University of Neuchatel Element-sensitive X-ray microscopy and micro-<br />

Computer-Tomography / Nanomedic<strong>in</strong>e<br />

129<br />

<strong>2007</strong><br />

2003<br />

2006<br />

2006<br />

2005<br />

<strong>2007</strong><br />

<strong>2007</strong><br />

2006<br />

2006<br />

2005<br />

<strong>2007</strong>


Name Professor / University Theme / <strong>CSEM</strong> Unit Start year<br />

J. Przybylska P. Renaud / EPF Lausanne Nanodispens<strong>in</strong>g of liquids <strong>in</strong> attoliter scale us<strong>in</strong>g probe<br />

arrays / Nanotechnology & Life Sciences<br />

M. Ramuz P. Seitz / University of Neuchatel High-efficiency photodetectors with organic<br />

semiconductors / Photonics<br />

F. N. Reale M. Vetterli / EPF Lausanne Voice restoration system for laryngectomees<br />

/ Systems Eng<strong>in</strong>eer<strong>in</strong>g<br />

J. Rousselot J.-D. Decotignie / EPF Lausanne Energy Efficient Rout<strong>in</strong>g for Wireless Sensor Networks<br />

/ Systems Eng<strong>in</strong>eer<strong>in</strong>g<br />

A. Schifferle E. Mazza / ETH Zurich Fracture behavior of s<strong>in</strong>gle crystal structures<br />

/ Microtechnology and MEMS<br />

O. Schleus<strong>in</strong>g J.-M. Ves<strong>in</strong> / EPF Lausanne Voice restoration of distorted speech due to<br />

laryngectomy / Systems Eng<strong>in</strong>eer<strong>in</strong>g<br />

J. Solà I Caros R. Müller / ETH Zurich Cont<strong>in</strong>uous non-<strong>in</strong>vasive blood pressure estimation<br />

/ Systems Eng<strong>in</strong>eer<strong>in</strong>g<br />

J. Taprogge B. Nelson / ETH Zurich High speed CAD model track<strong>in</strong>g for microassembly tasks<br />

/ Microrobotics<br />

L. Wang P. Hoffmann / EPF Lausanne Nanopattern<strong>in</strong>g by block copolymer lithography<br />

/ Nanotechnology & Life Sciences<br />

G. Weder J. Vörös / ETH Zurich Interaction of cells with nano-patterned surfaces<br />

/ Nanotechnology & Life Sciences<br />

H. Zhan J.-Y. Le Boudec / EPF Lausanne Impulse Radio Ultra-Wideband Channel Estimation and<br />

Location Technology / Systems Eng<strong>in</strong>eer<strong>in</strong>g<br />

Commissions and Committees<br />

N. Blanc Board member, Swiss Society for Sensor Technology<br />

C. Bosshard Advisory Board of Advanced Functional Materials<br />

Board member of the SwissLaser Net<br />

A. Dommann Board ESM<br />

Board member, Swiss Vacuum Society<br />

Committee member CCMX: ERU: Particle and coat<strong>in</strong>gs (SPERU)<br />

Excom member NanoTera<br />

Member of CTI-MNT group<br />

Member of technical committee of ENIAC<br />

Member of the expert team of BMFIT, Vienna<br />

Member of the selection board ESA, Noordwijk<br />

Member of the steer<strong>in</strong>g board of EUCEMAN<br />

President of Swiss MNT Network<br />

C. C. Enz Member of the Technical Program Committee of the International Solid-State Circuits Conference<br />

(ISSCC <strong>2007</strong>), San Fransisco, USA<br />

Technical Program Committee, European Solid-State Circuits Conference (ESSCIRC <strong>2007</strong>), Paper<br />

Selection Meet<strong>in</strong>g, Meet<strong>in</strong>g of the ESSCIRC-ESSDERC Steer<strong>in</strong>g Committee, Munich, DE, <strong>2007</strong><br />

130<br />

<strong>2007</strong><br />

2006<br />

2006<br />

2005<br />

2006<br />

<strong>2007</strong><br />

2004<br />

2006<br />

<strong>2007</strong><br />

2006<br />

<strong>2007</strong>


J. R. Farserotu Member of the Editorial Board of Wireless Personal Communications An International Journal,<br />

Spr<strong>in</strong>ger<br />

Vice-Chair and Research Co-ord<strong>in</strong>ator, Hermes Partnership, a network of lead<strong>in</strong>g organizations <strong>in</strong><br />

wireless and mobile communication <strong>in</strong> Europe<br />

Vice-Chair, European Telecommunication Standards Institute (ETSI), ETSI Project eHealth (EP<br />

eHealth)<br />

H. He<strong>in</strong>zelmann Evaluator for ERC Start<strong>in</strong>g Grants<br />

Expert for Austrian Nano <strong>in</strong>itiative<br />

International Advisory Board, Nanomedic<strong>in</strong>e WIRE<br />

International Scientific Committee Smart System Integration, Paris, France<br />

Member of German Physical Society (DPG)<br />

Member of SPG<br />

PhD Committee, V. Spassov<br />

Program Committee MNE <strong>2007</strong>, Copenhaguen, Denmark<br />

Program Committee SPP3, Dijon, France<br />

Science Advisory Board, Nanodimension<br />

Secretary Nanotechnology, Swiss Society for Optics and Microscopy<br />

T. H<strong>in</strong>derl<strong>in</strong>g Member of Steer<strong>in</strong>g Committee Nano-tera<br />

Member of Steer<strong>in</strong>g Committee of CCMX<br />

Member of Steer<strong>in</strong>g Committee of NCCR Quantum Photonics<br />

G. Kotrotsios Member of Organiz<strong>in</strong>g Committee, Industrial Liaison, SSBE Annual Meet<strong>in</strong>g <strong>2007</strong>, Neuchatel,<br />

Switzerland<br />

Member of Scientific Committee 4th Phealth Conference, Porto Carras, Chalkidiki, Greece<br />

Member of the International Committee, 29th Annual International Conference of the IEEE<br />

Eng<strong>in</strong>eer<strong>in</strong>g <strong>in</strong> Medic<strong>in</strong>e and Biology Society <strong>in</strong> conjunction with the Biennial Conference of the<br />

French Society of Biological and Medical Eng<strong>in</strong>eer<strong>in</strong>g, August <strong>2007</strong>, Lyon, France<br />

A. Perret ANR, Agence Nationale pour la recherche (FR), Project reviewer<br />

ASRH Scientific Board<br />

BioAlps Board<br />

Conseiller personnel du chef de la division Recherche et Technologie du CEA (FR)<br />

EpoSS Communalities Group<br />

Euripides Scientific Adviser of the Board, Council Member and Adviser of the Council<br />

Groupe d’experts : vision stratégique de la nouvelle école issue de la fusion de l'Ecole d'<strong>in</strong>génieurs<br />

de Genève et de l'Ecole d'<strong>in</strong>génieurs de Lullier<br />

Heterogeneous Technology Alliance, Steer<strong>in</strong>g Committee Coord<strong>in</strong>ator<br />

Holst Center E<strong>in</strong>dhoven, Advisory Board Member<br />

Président du Comité scientifique et technologique de la Fondation Franco-Suisse pour la<br />

Recherche et la Technologie (FFSRT)<br />

Program Committee of Smart System Integration Conference<br />

Secrétaire de la Fondation du prix Omega<br />

131


C. Piguet Member of the Board of the Strategic Research Foundation of Sweden (SSF). STRINGENT<br />

Project, L<strong>in</strong>köp<strong>in</strong>g University<br />

Membre de l’Editorial Board of Microelectronics Journal, Elsevier<br />

Membre du comité de rédaction du bullet<strong>in</strong> de la Société Suisse de Chronométrie<br />

Membre du Conseil d’Adm<strong>in</strong>istration de Centredoc, Neuchatel<br />

Program Committee and Special Session Organizer at ICECS <strong>2007</strong>, ICECS’07<br />

Program Committee of DASIP <strong>2007</strong> MINATEC<br />

Program Committee of ESSCIRC <strong>2007</strong><br />

Special Sessions Chair DATE <strong>2007</strong>, Nice<br />

Steer<strong>in</strong>g and Program Committee of FTFC’07<br />

Steer<strong>in</strong>g and Program Committee of Low-Power Symposium ISLPED’07<br />

Steer<strong>in</strong>g and Program Committee PATMOS'07<br />

Steer<strong>in</strong>g Committee of the ALaRI Master Course, University of Lugano<br />

P. Seitz Chairman of the board of Dynetix AG, CH-Landquart<br />

Chairman of the board of Heliotis AG, CH-Root<br />

Delegate of the EOS board for European Affairs, D-Hannover<br />

Editor-<strong>in</strong>-Chief, Sensors Scientific Journal<br />

Expert and Rapporteur, FP7 Photonics hear<strong>in</strong>gs and shortlist<strong>in</strong>g, NoE and IP projects, November<br />

<strong>2007</strong><br />

General Chair and Organiz<strong>in</strong>g Committee, Intl. Conference on “The Grand Challenges of<br />

Photonics”, D-Munich, June <strong>2007</strong><br />

General Chair and Program Committee, Intl. Conference on Frontiers <strong>in</strong> Electronic Imag<strong>in</strong>g, D-<br />

Munich, June <strong>2007</strong><br />

Member of the board of “Stakeholders <strong>in</strong> Photonics – Photonics21”, European Technology<br />

Platform, B-Brussels<br />

Member of the board of Espros Photonics AG, CH-Sargans<br />

Member of the board of the European Optical Society EOS, D-Hannover<br />

Member of the board of Zentronica AG, CH-Luzern<br />

Member of the Curriculum Commission “Nanomedic<strong>in</strong>e”, University of Liechtenste<strong>in</strong>, FL-Triesen<br />

Program Committee, International Workshop on Dynamic 3D Imag<strong>in</strong>g, D-Heidelberg, September<br />

<strong>2007</strong><br />

R. P. Stanley Session Chair: SPIE Photonics West Jan <strong>2007</strong><br />

M. Wiki CEO, Dynetix AG<br />

Prizes and Awards<br />

March <strong>2007</strong> – First prize of the Swiss Technology Award <strong>2007</strong> for the “<strong>CSEM</strong> Smallest Format Factory”<br />

September <strong>2007</strong> – Award Nom<strong>in</strong>ee for CTI Medtech Award <strong>2007</strong>, “The LEDDT - Platform (Laser Easy Drug Delivery Technology),<br />

a novel <strong>in</strong>jection-free method for <strong>in</strong>traepidermal delivery of large molecular weight drugs.”<br />

October <strong>2007</strong> – “Dupont Prix des Matériaux <strong>2007</strong>” award for the outstand<strong>in</strong>g work of Dr. Sivashankar Krishnamoorthy for work for his<br />

thesis carried out at <strong>CSEM</strong>.<br />

132


Headquarters<br />

<strong>CSEM</strong> Centre Suisse d’Electronique<br />

et de Microtechnique SA<br />

Rue Jaquet-Droz 1<br />

P.O. Box<br />

CH-2002 Neuchâtel<br />

T +41 32 720 5111<br />

F +41 32 720 5700<br />

www.csem.ch | <strong>in</strong>fo@csem.ch<br />

<strong>CSEM</strong> Zurich<br />

Technoparkstrasse 1<br />

CH-8005 Zürich<br />

T +41 44 497 1411<br />

F +41 44 497 1400<br />

<strong>CSEM</strong> Alpnach<br />

Untere Gründlistrasse 1<br />

CH-6055 Alpnach Dorf<br />

T +41 41 672 7511<br />

F +41 41 672 7500<br />

<strong>CSEM</strong> Landquart<br />

Schulstrasse 1<br />

CH-7302 Landquart<br />

T + 41 81 330 0970<br />

F + 41 81 330 0971

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!