05.07.2013 Aufrufe

Tutorial als PDF - CES

Tutorial als PDF - CES

Tutorial als PDF - CES

MEHR ANZEIGEN
WENIGER ANZEIGEN

Sie wollen auch ein ePaper? Erhöhen Sie die Reichweite Ihrer Titel.

YUMPU macht aus Druck-PDFs automatisch weboptimierte ePaper, die Google liebt.

Kapitel 3<br />

Verhaltensbeschreibung<br />

Nun werden die einzelnen Verhaltenbeschreibungen erstellt. Gehen Sie dabei<br />

folgendermaßen vor:<br />

1. GGT-Symbol markieren<br />

2. Tools → Generate HDL Template from Symbol<br />

3. Im erscheinenden Fenster VHDL auswählen<br />

4. Next<br />

5. Im erscheinenden Fenster sehen Sie nun eine Vorchau des Codegerüstes.<br />

6. Finish<br />

Verfahren Sie genauso für das COMPARATOR Symbol.<br />

Hinweis: Es wird nur ein VHDL-Gerüst erzeugt, das noch mit der eigentlichen<br />

Verhaltensbeschreibung (architecture) vervollständigt werden muß. Bibliotheken<br />

beachten!<br />

Wechseln Sie nun zum Sources-View und klappen Sie TUTORIAL.sch auf.<br />

Hier erscheinen die eben erstellten Grundgerüstdateien. Siehe Abbildungen<br />

3.1, 3.2, 3.3.<br />

23

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!