05.07.2013 Aufrufe

Tutorial als PDF - CES

Tutorial als PDF - CES

Tutorial als PDF - CES

MEHR ANZEIGEN
WENIGER ANZEIGEN

Erfolgreiche ePaper selbst erstellen

Machen Sie aus Ihren PDF Publikationen ein blätterbares Flipbook mit unserer einzigartigen Google optimierten e-Paper Software.

Abbildung 3.3: Fertiges VHDL-Gerüst<br />

Öffnen sie hier GGT.vhd und fügen Sie den Code aus Listing 7.1 wischen die<br />

Schlüsselwörter begin und end des GGT Architektur ein. Beachten Sie hierzu<br />

auch die unten angeführten Hinweise.<br />

Dann öffnen Sie COMPARATOR.vhd und fügen Sie den Code aus Listing 7.2<br />

zwischen die Schlüsselwörter begin und end der COMPARATOR Architektur<br />

ein.<br />

Hinweis 1 Wenn eine VHDL-Datei im Sources View ausgewählt ist, kann<br />

die Sytax mittels Check Syntax (im Processes Fenster) überprüft werden.<br />

Hinweis 2 Ersetzen sie numeric std durch logic artih<br />

25

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!