05.07.2013 Aufrufe

Tutorial als PDF - CES

Tutorial als PDF - CES

Tutorial als PDF - CES

MEHR ANZEIGEN
WENIGER ANZEIGEN

Sie wollen auch ein ePaper? Erhöhen Sie die Reichweite Ihrer Titel.

YUMPU macht aus Druck-PDFs automatisch weboptimierte ePaper, die Google liebt.

MODE : BUFFER std_logic;<br />

RESET : BUFFER std_logic;<br />

VALUE1 : BUFFER std_logic_vector (7 downto 0);<br />

VALUE2 : BUFFER std_logic_vector (7 downto 0);<br />

DATA : inout std_logic_vector (7 downto 0));<br />

end USB_INTERFACE;<br />

architecture BEHAVIORAL of USB_INTERFACE is<br />

signal Out_data : Std_Logic_Vector(7 downto 0); -- Zwischenlager für Ausgang<br />

BEGIN<br />

output_selection : process(adr, value1, value2, comp_value, reset, mode)<br />

begin<br />

case adr is<br />

when "00" => Out_data Out_data Out_data Out_data mode, 1 => reset, others => ’0’);<br />

when others => Out_data ’0’);<br />

end case;<br />

end process;<br />

write_process : process(adr, wr_n)<br />

begin<br />

if wr_n = ’1’ and wr_n’event then<br />

case adr is<br />

when "00" => value1 value2 Comp_Value mode

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!