05.07.2013 Aufrufe

Tutorial als PDF - CES

Tutorial als PDF - CES

Tutorial als PDF - CES

MEHR ANZEIGEN
WENIGER ANZEIGEN

Sie wollen auch ein ePaper? Erhöhen Sie die Reichweite Ihrer Titel.

YUMPU macht aus Druck-PDFs automatisch weboptimierte ePaper, die Google liebt.

Kapitel 7<br />

Anhang<br />

7.1 VHDL-Code für GGT<br />

--------------------------------------------------------------------------------<br />

-- Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved.<br />

--------------------------------------------------------------------------------<br />

-- ____ ____<br />

-- / /\/ /<br />

-- /___/ \ / Vendor: Xilinx<br />

-- \ \ \/ Version : 10.1.02<br />

-- \ \ Application :<br />

-- / / Filename : xil_F6mGuc<br />

-- /___/ /\ Timestamp : 10/21/2008 13:23:54<br />

-- \ \ / \<br />

-- \___\/\___\<br />

--<br />

--Command:<br />

--Design Name:<br />

--<br />

library ieee;<br />

use ieee.std_logic_1164.ALL;<br />

use ieee.std_logic_arith.ALL;<br />

library UNISIM;<br />

use UNISIM.Vcomponents.ALL;<br />

entity GGT is<br />

port ( CLK : in std_logic;<br />

IN1 : in std_logic_vector (7 downto 0);<br />

IN2 : in std_logic_vector (7 downto 0);<br />

RESET : in std_logic;<br />

40

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!