05.07.2013 Aufrufe

Tutorial als PDF - CES

Tutorial als PDF - CES

Tutorial als PDF - CES

MEHR ANZEIGEN
WENIGER ANZEIGEN

Sie wollen auch ein ePaper? Erhöhen Sie die Reichweite Ihrer Titel.

YUMPU macht aus Druck-PDFs automatisch weboptimierte ePaper, die Google liebt.

7.2 VHDL-Code für COMPARATOR<br />

--------------------------------------------------------------------------------<br />

-- Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved.<br />

--------------------------------------------------------------------------------<br />

-- ____ ____<br />

-- / /\/ /<br />

-- /___/ \ / Vendor: Xilinx<br />

-- \ \ \/ Version : 10.1.02<br />

-- \ \ Application :<br />

-- / / Filename : xil_GcbZlx<br />

-- /___/ /\ Timestamp : 10/21/2008 13:24:02<br />

-- \ \ / \<br />

-- \___\/\___\<br />

--<br />

--Command:<br />

--Design Name:<br />

--<br />

library ieee;<br />

use ieee.std_logic_1164.ALL;<br />

use ieee.numeric_std.ALL;<br />

library UNISIM;<br />

use UNISIM.Vcomponents.ALL;<br />

entity COMPARATOR is<br />

port ( IN1 : in std_logic_vector (7 downto 0);<br />

IN2 : in std_logic_vector (7 downto 0);<br />

EQUAL : out std_logic);<br />

end COMPARATOR;<br />

architecture BEHAVIORAL of COMPARATOR is<br />

begin<br />

COMPARE: process(IN1, IN2)<br />

begin<br />

if IN1 = IN2 then<br />

EQUAL

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!