23.08.2013 Views

CV - Electronic Systems

CV - Electronic Systems

CV - Electronic Systems

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Curriculum Vitae of Kees Goossens<br />

1 Personal Details<br />

Name: Kees Gerard Willem Goossens.<br />

Nationality: Netherlands.<br />

Last updated January 2, 2013.<br />

Work address:<br />

<strong>Electronic</strong> <strong>Systems</strong> group, Faculty of Electrical Engineering<br />

Eindhoven University of Technology (TU/e)<br />

Potentiaal/PT 9.34, Den Dolech 2, 5612 AZ, Eindhoven, The Netherlands.<br />

Tel. +31-40-2473404.<br />

Work email: k.g.w.goossens@tue.nl<br />

Qualifications: BSc (Hons) Wales, PhD (Edinburgh), Professor (Eindhoven).<br />

Contents<br />

1 Personal Details 1<br />

2 Education 2<br />

3 Employment History 2<br />

4 Publications 3<br />

4.1 Thesis and (Edited) Books . . . . . . . . . . . . . . . . . . . . . . . . . 3<br />

4.2 Book Chapters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4<br />

4.3 Journal and Magazine Articles . . . . . . . . . . . . . . . . . . . . . . 5<br />

4.4 Peer-Reviewed International Conference Articles . . . . . . . . . . . . 7<br />

4.5 Invited publications . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16<br />

4.6 Special sessions and tutorials . . . . . . . . . . . . . . . . . . . . . . . 17<br />

4.7 Local Conferences, Posters, etc. . . . . . . . . . . . . . . . . . . . . . . 17<br />

4.8 Paper Awards . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20<br />

4.9 Press Coverage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21<br />

4.10 Technical Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21<br />

5 Patents 24<br />

5.1 Granted patents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24<br />

5.2 Published pending patents . . . . . . . . . . . . . . . . . . . . . . . . . 25<br />

6 Professional Activities 26<br />

6.1 Research Grants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26<br />

6.2 Other Professional Activities . . . . . . . . . . . . . . . . . . . . . . . 27<br />

6.3 Journal & Conference Reviewing . . . . . . . . . . . . . . . . . . . . . 28<br />

6.4 Teaching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30<br />

6.5 Organised Tutorials, Special Sessions, etc. . . . . . . . . . . . . . . . . 31<br />

6.6 Invited Presentations . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32<br />

1


6.7 Invited Lectures, Tutorials, etc. . . . . . . . . . . . . . . . . . . . . . . 34<br />

7 Mangement, Promotions, Supervision 35<br />

7.1 Promotor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35<br />

7.2 PhD Committees . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36<br />

7.3 Team Leader . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37<br />

7.4 PhD Advisor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38<br />

7.5 Visiting researchers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38<br />

7.6 PDEng Advisor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39<br />

7.7 MSc Supervision . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39<br />

7.8 MSc graduation committees . . . . . . . . . . . . . . . . . . . . . . . . 41<br />

7.9 Bachelor Project Supervision . . . . . . . . . . . . . . . . . . . . . . . 42<br />

8 Committees 42<br />

9 Research Interests 42<br />

10 Miscellaneous 43<br />

2


2 Education<br />

1978 to 1984<br />

Secondary school: Cobbenhagen College, Brittendreef 5, 5012 AE Tilburg,<br />

The Netherlands. Completing the VWO secondary education (Preparatory<br />

Scientific Education) gives a right of entry to a Dutch university.<br />

October 1984 to June 1986 and October 1987 to June 1988<br />

Undergraduate degree in Computer Science and Pure Mathematics at the<br />

University College of Wales, Aberystwyth. Department of Computer Science,<br />

Penglais, Aberystwyth, SY23 3BZ, Dyfed, Wales.<br />

I received a class II(i) BSc (Hons) degree.<br />

October 1988 to December 1992<br />

27 May 1993 PhD defence (viva). Postgraduate degree (PhD) at the Laboratory<br />

for Foundations of Computer Science, Department of Computer<br />

Science. University of Edinburgh. James Clerk Maxwell Building, The<br />

King’s Buildings, Edinburgh EH9 3JZ, Scotland.<br />

In my thesis, Embedding Hardware Description Languages in Proof <strong>Systems</strong>,<br />

I gave a static and dynamic operational semantics for a subset of the<br />

ella hardware description language, and embedded this semantics in the<br />

higher-order-logic proof system Lambda, and proved various properties<br />

about the embedded semantics, formal hardware synthesis, and symbolic<br />

simulation. Prof. M. P. Fourman was my promotor.<br />

3 Employment History<br />

4 August 1986 to 24 July 1987<br />

Full-time employment as a software engineer at Praxis <strong>Systems</strong> Plc. 20<br />

Manvers Street, Bath BA1 1PX, England.<br />

One project involved porting a relational database from a personal computer<br />

to a mini computer, another implementing various parts of a compiler<br />

(Algol68 to C translator).<br />

October 1988 to July 1991<br />

Part-time employment as an undergraduate supervisor (for first-year students)<br />

and tutor (for third-year students) at the Department of Computer<br />

Science, University of Edinburgh, Scotland.<br />

1 July 1991 to 30 August 1992<br />

Part-time (0.5 FTE) appointment as a research associate at the Department<br />

of Computer Science, University of Edinburgh, Scotland on the<br />

SERC-funded project Formal System Design Tools.<br />

1 December 1992 to 31 September 1993<br />

Full-time appointment as a research fellow at the Department of Computer<br />

3


Science, University of Edinburgh, Scotland on the SERC-funded project<br />

Formal System Design Tools.<br />

1 October 1993 to 31 March 1994<br />

Full-time appointment as a visiting researcher at the Departamento de<br />

Informatica, Universidade Federal de Pernambuco at Recife, Brazil on the<br />

CNPq-funded project Encoding Relational Semantics in Automated Proof<br />

<strong>Systems</strong>.<br />

1 May 1994 to 31 May 1995<br />

Full-time appointment as a visiting researcher on the EEC-funded Human<br />

Capital and Mobility project Euroform at the Dipartimento di Scienze<br />

dell’Informazione, Università di Roma “La Sapienza,” Italy and at the<br />

Departimento di Automatica e Informatica, Politecnico di Torino, Italy.<br />

I developed formal operational and observational semantics for VHDL<br />

inspired by process calculi.<br />

16 September 1995 to 31 December 2009<br />

Full-time appointment as senior research scientist, at the Natuurkundig<br />

Laboratorium, Philips Research Laboratories in the Netherlands.<br />

As of 1 March 2004, full-time appointment as principal research scientist.<br />

1 February 2007 to 31 December 2009<br />

Three-year appointment as a part-time (0.2 FTE) full professor (“buitengewoon<br />

hoogleraar”) in VLSI Design at the Computer Engineering (CE)<br />

division within the Electrical Engineering, Mathematics and Computer<br />

Science (EEMCS) faculty of the Delft University of Technology.<br />

1 January 2010 to present<br />

Full-time full professor in Real-Time Embedded <strong>Systems</strong> in the <strong>Electronic</strong>s<br />

<strong>Systems</strong> group within the Electrical Engineering faculty of the Eindhoven<br />

University of Technology.<br />

1 January 2012 to present<br />

Part-time consultant with K.G.W. Goossens Consultancy.<br />

4 Publications<br />

4.1 Thesis and (Edited) Books<br />

1. Kees G. W. Goossens. Embedding Hardware Description Languages in<br />

Proof <strong>Systems</strong>. PhD thesis, Laboratory for Foundations of Computer<br />

Science, Department of Computer Science, University of Edinburgh, May<br />

1993.<br />

2. Kees Goossens and Laure Petrucci, editors. Sixth International Conference<br />

on Applications of Concurrency to System Design (ACSD), Turku,<br />

Finland, June 2006. IEEE.<br />

4


3. Koen Bertels, Sorin Cotofana, Georgi N. Gaydadjiev, Kees Goossens, Said<br />

Hamdioui, Arjan van Genderen, and Stephan Wong, editors. The Future<br />

of Computing — Essays in Memory of Stamatis Vassiliadis. September<br />

2007.<br />

4. Andreas Hansson and Kees Goossens. On-Chip Interconnect with aelite:<br />

Composable and Predictable <strong>Systems</strong>. Embedded <strong>Systems</strong> Series. Springer,<br />

November 2010.<br />

5. Benny Akesson and Kees Goossens. Memory Controllers for Real-Time<br />

Embedded <strong>Systems</strong>. Embedded <strong>Systems</strong> Series. Springer, September 2011.<br />

4.2 Book Chapters<br />

1. Kees Goossens, John Dielissen, Jef van Meerbergen, Peter Poplavko, Andrei<br />

Rădulescu, Edwin Rijpkema, Erwin Waterlander, and Paul Wielage.<br />

Guaranteeing the quality of services in networks on chip. In Axel Jantsch<br />

and Hannu Tenhunen, editors, Networks on Chip, chapter 4, pages 61–82.<br />

Kluwer Academic Publishers, Hingham, MA, USA, 2003.<br />

2. Andrei Rădulescu and Kees Goossens. Communication services for networks<br />

on chip. In Shuvra S. Bhattacharyya, Ed F. Deprettere, and Jürgen<br />

Teich, editors, Domain-Specific Processors: <strong>Systems</strong>, Architectures, Modeling,<br />

and Simulation, pages 193–213. Marcel Dekker, 2004.<br />

3. Kees Goossens, Om Prakash Gangwal, Jens Röver, and A. P. Niranjan.<br />

Interconnect and memory organization in SOCs for advanced set-top boxes<br />

and TV — Evolution, analysis, and trends. In Jari Nurmi, Hannu Tenhunen,<br />

Jouni Isoaho, and Axel Jantsch, editors, Interconnect-Centric Design<br />

for Advanced SoC and NoC, chapter 15, pages 399–423. Kluwer,<br />

2004.<br />

4. Kees Goossens, Santiago González Pestana, John Dielissen, Om Prakash<br />

Gangwal, Jef van Meerbergen, Andrei Rădulescu, Edwin Rijpkema, and<br />

Paul Wielage. Service-based design of systems on chip and networks<br />

on chip. In Peter van der Stok, editor, Dynamic and Robust Streaming<br />

In And Between Connected Consumer-<strong>Electronic</strong>s Devices, volume 3 of<br />

Philips Research Book Series, chapter 2, pages 37–60. Springer, 2005.<br />

5. Om Prakash Gangwal, Andrei Rădulescu, Kees Goossens, Santiago González Pestana,<br />

and Edwin Rijpkema. Building predictable systems on chip: An<br />

analysis of guaranteed communication in the Æthereal network on chip.<br />

In Peter van der Stok, editor, Dynamic and Robust Streaming In And<br />

Between Connected Consumer-<strong>Electronic</strong>s Devices, volume 3 of Philips<br />

Research Book Series, chapter 1, pages 1–36. Springer, 2005.<br />

6. Israel Cidon and Kees Goossens. Network and transport layers in networks<br />

on chip. In Giovanni De Micheli and Luca Benini, editors, Networks on<br />

Chips: Technology and Tools, The Morgan Kaufmann Series in <strong>Systems</strong><br />

on Silicon, chapter 5, pages 147–202. Morgan Kaufmann, July 2006.<br />

7. Arno Moonen, Chris Bartels, Marco Bekooij, René van den Berg, Harpreet<br />

Bhullar, Kees Goossens, Patrick Groeneveld, Jos Huiskens, and Jef van<br />

Meerbergen. Comparison of an Aethereal network on chip and tradi-<br />

5


tional interconnects - two case studies. In Giovanni De Micheli, Salvador<br />

Mir, and Ricardo Reis, editors, VLSI-SoC: Research Trends in VLSI and<br />

<strong>Systems</strong> on Chip, volume 249 of IFIP International Federation for Information<br />

Processing, pages 317–336. Springer, 2007.<br />

8. E. Rijpkema, K. G. W. Goossens, A. Rădulescu, J. Dielissen, J. van Meerbergen,<br />

P. Wielage, and E. Waterlander. Trade offs in the design of a<br />

router with both guaranteed and best-effort services for networks on chip.<br />

In Rudy Lauwereins and Jan Madsen, editors, Design Automation, and<br />

Test in Europe. The Most Influential Papers of 10 Years DATE, Circuits<br />

& <strong>Systems</strong>, chapter 2 (Networks on Chip). Springer, January 2008.<br />

9. Bart Vermeulen and Kees Goossens. Debugging multi-core systems on<br />

chip. In George Kornaros, editor, Multi-Core Embedded <strong>Systems</strong>, chapter<br />

5, pages 153–198. CRC Press/Taylor & Francis Group, April 2010.<br />

10. Benny Akesson, Anca Molnos, Andreas Hansson, Jude Ambrose Angelo,<br />

and Kees Goossens. Composability and predictability for independent<br />

application development, verification, and execution. In Michael Hübner<br />

and Jürgen Becker, editors, Multiprocessor System-on-Chip — Hardware<br />

Design and Tool Integration, Circuits and <strong>Systems</strong>, chapter 2, pages 25–56.<br />

Springer, November 2010.<br />

4.3 Journal and Magazine Articles<br />

1. André Nieuwland, Jeffrey Kang, Om Prakash Gangwal, Ramanathan Sethuraman,<br />

Natalino Busá, Kees Goossens, Rafael Peset Llopis, and Paul Lippens.<br />

C-HEAP: A heterogeneous multi-processor architecture template<br />

and scalable and flexible protocol for the design of embedded signal processing<br />

systems. ACM Transactions on Design Automation for Embedded<br />

<strong>Systems</strong>, 7(3):233–270, 2002.<br />

2. E. Rijpkema, K. Goossens, A. Rădulescu, J. Dielissen, J. van Meerbergen,<br />

P. Wielage, and E. Waterlander. Trade-offs in the design of a router with<br />

both guaranteed and best-effort services for networks on chip. IEE Proceedings:<br />

Computers and Digital Techniques, 150(5):294–302, September<br />

2003.<br />

3. Bart Vermeulen, John Dielissen, Kees Goossens, and Călin Ciorda¸s. Bringing<br />

communication networks on chip: Test and verification implications.<br />

IEEE Communications Magazine, 41(9):74–81, September 2003.<br />

4. Andrei Rădulescu, John Dielissen, Santiago González Pestana, Om Prakash<br />

Gangwal, Edwin Rijpkema, Paul Wielage, and Kees Goossens. An efficient<br />

on-chip network interface offering guaranteed services, shared-memory abstraction,<br />

and flexible network programming. IEEE Transactions on CAD<br />

of Integrated Circuits and <strong>Systems</strong>, 24(1):4–17, January 2005.<br />

5. Kees Goossens, John Dielissen, and Andrei Rădulescu. The Æthereal<br />

network on chip: Concepts, architectures, and implementations. IEEE<br />

Design and Test of Computers, 22(5):414–421, Sept-Oct 2005.<br />

6. Călin Ciorda¸s, Twan Basten, Andrei Rădulescu, Kees Goossens, and Jef<br />

van Meerbergen. An event-based monitoring service for networks on<br />

6


chip. ACM Transactions on Design Automation of <strong>Electronic</strong> <strong>Systems</strong>,<br />

10(4):702–723, October 2005.<br />

7. Alexandre M. Amory, Kees Goossens, Erik Jan Marinissen, Marcelo Lubaszewski,<br />

and Fernando Moraes. Wrapper design for the reuse of a bus, networkon-chip,<br />

or ther functional interconnect as test access mechanism. IET<br />

Computers & Digital Techniques, 1(3):197–206, May 2007.<br />

8. Andreas Hansson, Kees Goossens, and Andrei Rădulescu. A unified approach<br />

to mapping and routing on a network on chip for both best-effort<br />

and guaranteed service traffic. VLSI Design, 2007:Article ID 68432, 16<br />

pages, May 2007. Hindawi Publishing Corporation.<br />

9. Andreas Hansson, Kees Goossens, and Andrei Rădulescu. Avoiding<br />

message-dependent deadlock in network-based systems on chip. VLSI<br />

Design, 2007:Article ID 95859, 10 pages, May 2007. Hindawi Publishing<br />

Corporation.<br />

10. Călin Ciorda¸s, Andreas Hansson, Kees Goossens, and Twan Basten. A<br />

monitoring-aware network-on-chip design flow. Journal of <strong>Systems</strong> Architecture,<br />

54(3–4):397–410, March 2008.<br />

11. Andreas Hansson, Kees Goossens, Marco Bekooij, and Jos Huisken. CoMP-<br />

SoC: A template for composable and predictable multi-processor system<br />

on chips. ACM Transactions on Design Automation of <strong>Electronic</strong> <strong>Systems</strong>,<br />

14(1):1–24, 2009.<br />

12. Andreas Hansson, Maarten Wiggers, Arno Moonen, Kees Goossens, and<br />

Marco Bekooij. Enabling application-level performance guarantees in<br />

network-based systems on chip by applying dataflow analysis. IET Computers<br />

& Digital Techniques, 3(5):398 –412, September 2009.<br />

13. Davide Bertozzi and Kees Goossens. Editorial: Networks on chips. IET<br />

Computers & Digital Techniques, 3(5):395–397, 2009.<br />

14. Ardy van den Berg, Pengwei Ren, Erik Jan Marinissen, Georgi Gaydadjiev,<br />

and Kees Goossens. Bandwidth analysis for reusing functional interconnect<br />

as test access mechanism. Journal of <strong>Electronic</strong> Testing (JETTA),<br />

pages 1–12, July 2010.<br />

15. Andreas Hansson, Marcus Ekerhult, Anca Molnos, Aleksandar Milutinovic,<br />

Andrew Nelson, Jude Ambrose, and Kees Goossens. Design and<br />

implementation of an operating system for composable processor sharing.<br />

Microprocessors and Microsystems (MICPRO), 35(2):246–260, March 2011.<br />

Special issue on Network-on-Chip Architectures and Design Methodologies.<br />

16. Radu Stefan and Kees Goossens. A TDM slot allocation flow based on<br />

multipath routing in NoCs. Microprocessors and Microsystems (MICPRO),<br />

35(2):130–138, March 2011. Elsevier.<br />

17. Andreas Hansson and Kees Goossens. A quantitative evaluation of a<br />

network-based interconnect for multi-core consumer multimedia applications.<br />

Springer Journal of Design Automation for Embedded <strong>Systems</strong><br />

(DAEM), 15:159–190, 2011.<br />

18. Kees Goossens and Radu Marculescu. Editorial: Special issue on networkson-chip:<br />

Design flows and case studies. Springer Journal of Design Au-<br />

7


tomation for Embedded <strong>Systems</strong> (DAEM), 15:87–88, 2011.<br />

19. Jae Young Hur, Todor Stefanov, Stephan Wong, and Kees Goossens. Customization<br />

of on-chip network interconnects and experiments in FPGAs.<br />

IET Computers & Digital Techniques, 6(1):56–68, January 2012.<br />

20. Radu Stefan, Anca Molnos, and Kees Goossens. dAElite: A TDM NoC<br />

supporting QoS, multicast, and fast connection set-up. IEEE Transactions<br />

on Computers, 2012.<br />

21. Jae Young Hur, Kees Goossens, Lotfi Mhamdi, and Muhammad Wahlah.<br />

Comparative analysis of soft and hard on-chip interconnects for FPGAs.<br />

IET Computers & Digital Techniques, 6(1):1–10, December 2012.<br />

4.4 Peer-Reviewed International Conference Articles<br />

1. K. G. W. Goossens. Embedding a CHDDL in a proof system. In<br />

P. Prinetto and P. Camurati, editors, Advanced Research Workshop on<br />

Correct Hardware Design Methodologies, pages 359–374. ESPRIT CHARME,<br />

North Holland, June 1991. Also as University of Edinburgh LFCS Report<br />

ECS-LFCS-91-155.<br />

2. K. G. W. Goossens. Operational semantics based formal symbolic simulation.<br />

In Luc Claesen and Michael Gordon, editors, Higher Order Logic<br />

Theorem Proving and Its Applications, pages 487–506. North-Holland/Elsevier,<br />

September 1992. A longer version is available as University of Edinburgh<br />

LFCS Report ECS-LFCS-92-231.<br />

3. K. G. W. Goossens. Structure and behaviour in hardware verification. In<br />

Jeffrey J. Joyce and Carl-Johan H. Seger, editors, Higher Order Logic Theorem<br />

Proving and Its Applications, number 780 in Lecture Notes in Computer<br />

Science (LNCS), pages 75–88, London, UK, August 1993. Springer<br />

Verlag. A longer version is available as University of Edinburgh LFCS<br />

Report ECS-LFCS-93-273.<br />

4. K. G. W. Goossens. The formalisation of a hardware description language<br />

in a proof system: Motivation and applications. In Proceedings of the<br />

XIII Conference of the Brazilian Computer Society, Florianopolis, Brazil,<br />

September 1993.<br />

5. K. G. W. Goossens. Reasoning about VHDL using operational and observational<br />

semantics. In Paolo E. Camurati and Hans Eveking, editors,<br />

Correct Hardware Design Methodologies, volume 987 of Lecture Notes in<br />

Computer Science, pages 311–327, London, UK, October 1995. Springer<br />

Verlag.<br />

6. R. Peset Llopis and K.G.W. Goossens. The Petrol approach to high-level<br />

power estimation. In Proc. Int’l Symposium on Low Power <strong>Electronic</strong>s<br />

and Design (ISLPED), pages 130–132, New York, NY, USA, August 1998.<br />

ACM Press.<br />

7. Lodewijk T. Smit, Gerard J.M. Smit, Paul J.M. Havinga, Jos A. Huisken,<br />

Kees G.W. Goossens, and John T.M.H. Dielissen. Towards a model for<br />

making a trade-off between QoS and costs. In Proceedings of the CTIT<br />

workshop on Mobile Communications in perspective, February 2001.<br />

8


8. K. G. W. Goossens. A protocol and memory manager for on-chip communication.<br />

In Proc. Int’l Symposium on Circuits and <strong>Systems</strong> (ISCAS),<br />

volume 2, pages 225–228, Sydney, May 2001. IEEE Circuits and <strong>Systems</strong><br />

Society.<br />

9. K. Goossens, J. van Meerbergen, A. Peeters, and P. Wielage. Networks on<br />

silicon: Combining best-effort and guaranteed services. In Proc. Design,<br />

Automation and Test in Europe Conference and Exhibition (DATE), pages<br />

423–425, Washington, DC, USA, March 2002. IEEE Computer Society.<br />

10. K. G. W. Goossens and O. P. Gangwal. The cost of communication<br />

protocols and coordination languages in embedded systems. In Farhad<br />

Arbab and Carolyn Talcott, editors, Coordination languages and models,<br />

volume 2315 of Lecture notes in computer science, pages 174–190. Springer<br />

Verlag, April 2002.<br />

11. E. Rijpkema, K. G. W. Goossens, A. Rădulescu, J. Dielissen, J. van Meerbergen,<br />

P. Wielage, and E. Waterlander. Trade offs in the design of a<br />

router with both guaranteed and best-effort services for networks on chip.<br />

In Proc. Design, Automation and Test in Europe Conference and Exhibition<br />

(DATE), pages 350–355, Washington, DC, USA, March 2003. IEEE<br />

Computer Society.<br />

12. John Dielissen, Andrei Rădulescu, Kees Goossens, and Edwin Rijpkema.<br />

Concepts and implementation of the Philips network-on-chip. In Workshop<br />

on IP-Based System-on-Chip Design. IFIP workshop, November<br />

2003.<br />

13. Andrei Rădulescu, John Dielissen, Kees Goossens, Edwin Rijpkema, and<br />

Paul Wielage. An efficient on-chip network interface offering guaranteed<br />

services, shared-memory abstraction, and flexible network programming.<br />

In Proc. Design, Automation and Test in Europe Conference and Exhibition<br />

(DATE), volume 2, pages 878–883, Washington, DC, USA, February<br />

2004. IEEE Computer Society.<br />

14. Santiago González Pestana, Edwin Rijpkema, Andrei Rădulescu, Kees<br />

Goossens, and Om Prakash Gangwal. Cost-performance trade-offs in<br />

networks on chip: A simulation-based approach. In Proc. Design, Automation<br />

and Test in Europe Conference and Exhibition (DATE), pages<br />

764–769, Washington, DC, USA, February 2004. IEEE Computer Society.<br />

15. Călin Ciorda¸s, Twan Basten, Andrei Rădulescu, Kees Goossens, and Jef<br />

van Meerbergen. An event-based network-on-chip monitoring service. In<br />

Proc. Workshop on High-Level Design Validation and Test (HLDVT),<br />

pages 149–154, Washington, DC, USA, November 2004. IEEE Computer<br />

Society.<br />

16. Kees Goossens, John Dielissen, Om Prakash Gangwal, Santiago González Pestana,<br />

Andrei Rădulescu, and Edwin Rijpkema. A design flow for applicationspecific<br />

networks on chip with guaranteed performance to accelerate SOC<br />

design and verification. In Proc. Design, Automation and Test in Europe<br />

Conference and Exhibition (DATE), pages 1182–1187, Washington, DC,<br />

USA, March 2005. IEEE Computer Society.<br />

17. Kees Goossens. Networks on chip for consumer electronics. In Proc. Int’l<br />

9


Summer School on Advanced Computer Architecture and Compilation for<br />

Embedded <strong>Systems</strong> (ACACES), pages 2272–230, July 2005.<br />

18. Andreas Hansson, Kees Goossens, and Andrei Rădulescu. A unified approach<br />

to constrained mapping and routing on network-on-chip architectures.<br />

In Int’l Conf. on Hardware/Software Codesign and System Synthesis<br />

(CODES+ISSS), pages 75–80. ACM, September 2005.<br />

19. Biniam Gebremichael, Frits Vaandrager, Miaomiao Zhang, Kees Goossens,<br />

Edwin Rijpkema, and Andrei Rădulescu. Deadlock prevention in the<br />

Æthereal protocol. In Dominique Borrione and Wolfgang Paul, editors,<br />

Proc. Working Conference on Correct Hardware Design and Verification<br />

Methods (CHARME), volume 3725 of Lecture Notes in Computer Science<br />

(LNCS), pages 345–348, October 2005.<br />

20. Srinivasan Murali, Martijn Coenen, Andrei Rădulescu, Kees Goossens,<br />

and Giovanni De Micheli. Mapping and configuration methods for multiuse-case<br />

networks on chips. In Proc. Design Automation Conference.<br />

Asia and South Pacific (ASPDAC), pages 146–151, New York, NY, USA,<br />

January 2006. ACM Press.<br />

21. Srinivasan Murali, Martijn Coenen, Andrei Rădulescu, Kees Goossens,<br />

and Giovanni De Micheli. A methodology for mapping multiple usecases<br />

on to networks on chip. In Proc. Design, Automation and Test<br />

in Europe Conference and Exhibition (DATE), pages 118–123, 3001 Leuven,<br />

Belgium, Belgium, March 2006. European Design and Automation<br />

Association.<br />

22. Frits Steenhof, Harry Duque, Björn Nilsson, Kees Goossens, and Rafael<br />

Peset Llopis. Networks on chips for high-end consumer-electronics TV<br />

system architectures. In Proc. Design, Automation and Test in Europe<br />

Conference and Exhibition (DATE), volume 2, pages 148–153, 3001 Leuven,<br />

Belgium, Belgium, March 2006. European Design and Automation<br />

Association.<br />

23. Călin Ciorda¸s, Kees Goossens, Andrei Rădulescu, and Twan Basten. NoC<br />

monitoring: Impact on the design flow. In Proc. Int’l Symposium on<br />

Circuits and <strong>Systems</strong> (ISCAS), pages 1981–1984, May 2006.<br />

24. Alexandre M. Amory, Kees Goossens, Erik Jan Marinissen, Marcelo Lubaszewski,<br />

and Fernando Moraes. Wrapper design for the reuse of networks-on-chip<br />

as test access mechanism. In Proc. European Test Symposium (ETS),<br />

pages 213–218, Washington, DC, USA, May 2006. IEEE Computer Society.<br />

25. Milan Pastrnak, Peter H.N. de With, Călin Ciorda¸s, Jef van Meerbergen,<br />

and Kees Goossens. Mixed adaptation and fixed-reservation QoS for<br />

improving picture quality and resource usage of multimedia (noc) chips.<br />

In Proc. Int’l Symposium on Consumer <strong>Electronic</strong>s (ISCE), pages 1–6,<br />

June 2006.<br />

26. Călin Ciorda¸s, Andreas Hansson, Kees Goossens, and Twan Basten. A<br />

monitoring-aware NoC design flow. In Proc. Euromicro Symposium on<br />

Digital System Design (DSD), pages 97–104, San Jose, CA, USA, August<br />

2006. EDA Consortium.<br />

10


27. Lambert Spaanenburg, Benny Akesson, Andreas Hansson, and Kees Goossens.<br />

Design method for unconventional computing. In Int’l Workshop on Cellular<br />

Neural Networks and their Applications (CNNA), pages 1–6. IEEE,<br />

August 2006.<br />

28. Chris Bartels, Jos Huisken, Kees Goossens, Patrick Groeneveld, and Jef<br />

van Meerbergen. Comparison of an Æthereal network on chip and a<br />

traditional interconnect for a multi-processor DVB-T system on chip. In<br />

Proc. IFIP Int’l Conference on Very Large Scale Integration (VLSI-SoC),<br />

pages 80–85, October 2006.<br />

29. Călin Ciorda¸s, Kees Goossens, Twan Basten, Andrei Rădulescu, and Andre<br />

Boon. Transaction monitoring in networks on chip: The on-chip runtime<br />

perspective. In Proc. Symposium on Industrial Embedded <strong>Systems</strong><br />

(IES), pages 1–10, Antibes, France, October 2006. IEEE.<br />

30. Martijn Coenen, Srinivasan Murali, Andrei Rădulescu, Kees Goossens,<br />

and Giovanni De Micheli. A buffer-sizing algorithm for networks on chip<br />

using TDMA and credit-based end-to-end flow control. In Int’l Conf.<br />

on Hardware/Software Codesign and System Synthesis (CODES+ISSS),<br />

pages 130–135, New York, NY, USA, October 2006. ACM Press.<br />

31. Jan Willem van den Brand, Călin Ciorda¸s, Twan Basten, and Kees Goossens.<br />

Congestion-controlled best-effort communication for networks-on-chip. In<br />

Proc. Design, Automation and Test in Europe Conference and Exhibition<br />

(DATE), pages 948–953, San Jose, CA, USA, April 2007. EDA Consortium.<br />

32. Andreas Hansson, Martijn Coenen, and Kees Goossens. Undisrupted<br />

quality-of-service during reconfiguration of multiple applications in networks<br />

on chip. In Proc. Design, Automation and Test in Europe Conference<br />

and Exhibition (DATE), pages 954–959, San Jose, CA, USA, April<br />

2007. EDA Consortium.<br />

33. Kees Goossens, Bart Vermeulen, Remco van Steeden, and Martijn Bennebroek.<br />

Transaction-based communication-centric debug. In Proc. Int’l<br />

Symposium on Networks on Chip (NOCS), pages 95–106, Washington,<br />

DC, USA, May 2007. IEEE Computer Society.<br />

34. Andreas Hansson and Kees Goossens. Trade-offs in the configuration of<br />

a network on chip for multiple use-cases. In Proc. Int’l Symposium on<br />

Networks on Chip (NOCS), pages 233–242, Washington, DC, USA, May<br />

2007. IEEE Computer Society.<br />

35. Bart Vermeulen, Kees Goossens, Remco van Steeden, and Martijn Bennebroek.<br />

Communication-centric SOC debug using transactions. In Proc.<br />

European Test Symposium (ETS), pages 69–76, Washington, DC, USA,<br />

May 2007. IEEE Computer Society.<br />

36. Andreas Hansson, Martijn Coenen, and Kees Goossens. Channel trees:<br />

Reducing latency by sharing time slots in time-multiplexed networks on<br />

chip. In Int’l Conf. on Hardware/Software Codesign and System Synthesis<br />

(CODES+ISSS), pages 149–154, New York, NY, USA, October 2007.<br />

ACM.<br />

37. Benny Akesson, Kees Goossens, and Markus Ringhofer. Predator: A pre-<br />

11


dictable SDRAM memory controller. In Int’l Conf. on Hardware/Software<br />

Codesign and System Synthesis (CODES+ISSS), pages 251–256, New York,<br />

NY, USA, October 2007. ACM.<br />

38. Bart Vermeulen, Kees Goossens, and Siddharth Umrani. Debugging<br />

distributed-shared-memory communication at multiple granularities in networks<br />

on chip. In Proc. Int’l Symposium on Networks on Chip (NOCS),<br />

pages 3–12, Washington, DC, USA, April 2008. IEEE Computer Society.<br />

39. Kees Goossens, Martijn Bennebroek, Jae Young Hur, and Muhammad Aqeel<br />

Wahlah. Hardwired networks on chip in FPGAs to unify data and configuration<br />

interconnects. In Proc. Int’l Symposium on Networks on Chip<br />

(NOCS), pages 45–54, Washington, DC, USA, April 2008. IEEE Computer<br />

Society.<br />

40. Ardy van den Berg, Pengwei Ren, Erik Jan Marinissen, Georgi Gaydadjiev,<br />

and Kees Goossens. Bandwidth analysis for reusing functional interconnect<br />

as test access mechanism. In Proc. European Test Symposium<br />

(ETS), pages 21–26, Washington, DC, USA, May 2008. IEEE Computer<br />

Society.<br />

41. Benny Akesson, Liesbeth Steffens, Eelke Strooisma, and Kees Goossens.<br />

Real-time scheduling using credit-controlled static-priority arbitration. In<br />

Proc. Int’l Conference on Embedded and Real-Time Computing <strong>Systems</strong><br />

and Applications (RTCSA), pages 3–14, Washington, DC, USA, August<br />

2008. IEEE Computer Society.<br />

42. Jae Young Hur, Kees Goossens, and Lotfi Mhamdi. Performance analysis<br />

of soft and hard single-hop and multi-hop circuit-switched interconnects<br />

for FPGAs. In Proc. IFIP Int’l Conference on Very Large Scale Integration<br />

(VLSI-SoC), October 2008.<br />

43. Aleksandar Milutinović, Kees Goossens, and Gerard Smit. Impact of<br />

power-management granularity on the energy-quality trade-off for soft and<br />

hard real-time applications. In Proc. Int’l Symposium on <strong>Systems</strong> on Chip<br />

(SoC), pages 1–4, November 2008.<br />

44. Andreas Hansson, Mahesh Subbaraman, and Kees Goossens. aelite: A flitsynchronous<br />

network on chip with composable and predictable services. In<br />

Proc. Design, Automation and Test in Europe Conference and Exhibition<br />

(DATE), pages 250–255, April 2009.<br />

45. Kees Goossens, Bart Vermeulen, and Ashkan Beyranvand Nejad. A highlevel<br />

debug environment for communication-centric debug. In Proc. Design,<br />

Automation and Test in Europe Conference and Exhibition (DATE),<br />

pages 202–207, April 2009.<br />

46. Muhammad Aqeel Wahlah and Kees Goossens. Modeling reconfiguration<br />

in a FPGA with a hardwired network on chip. In Proc. Reconfigurable<br />

Architecture Workshop (RAW), pages 1–8. IEEE Computer Society, May<br />

2009.<br />

47. Kees Goossens, Lotfi Mhamdi, and Iria Varela Senin. Internet-router<br />

buffered crossbars based on networks on chip. In Proc. Euromicro Symposium<br />

on Digital System Design (DSD), pages 365 –374, August 2009.<br />

48. Benny Akesson, Andreas Hansson, and Kees Goossens. Composable re-<br />

12


source sharing based on latency-rate servers. In Proc. Euromicro Symposium<br />

on Digital System Design (DSD), pages 547–555, August 2009.<br />

49. Anca Molnos and Kees Goossens. Conservative dynamic energy management<br />

for real-time dataflow applications mapped on multiple processors.<br />

In Proc. Euromicro Symposium on Digital System Design (DSD), pages<br />

409–418, August 2009.<br />

50. Benny Akesson, Liesbeth Steffens, and Kees Goossens. Efficient service<br />

allocation in hardware using credit-controlled static-priority arbitration.<br />

In Proc. Int’l Conference on Embedded and Real-Time Computing <strong>Systems</strong><br />

and Applications (RTCSA), pages 59–68, Washington, DC, USA, August<br />

2009. IEEE Computer Society.<br />

51. Radu Stefan and Kees Goossens. Multi-path routing in time-divisionmultiplexed<br />

networks on chip. In Proc. IFIP Int’l Conference on Very<br />

Large Scale Integration (VLSI-SoC), pages 109–114, October 2009.<br />

52. Aleksandar Milutinović, Kees Goossens, and Gerard Smit. Dynamic workload<br />

peak detection for slack management. In Proc. Int’l Symposium on<br />

<strong>Systems</strong> on Chip (SoC), pages 1–4, October 2009.<br />

53. Andreas Hansson and Kees Goossens. An on-chip interconnect and protocol<br />

stack for multiple communication paradigms and programming models.<br />

In Int’l Conf. on Hardware/Software Codesign and System Synthesis<br />

(CODES+ISSS), pages 99–108, New York, NY, USA, October 2009.<br />

ACM.<br />

54. Iria Varela Senín, Lotfi Mhamdi, and Kees Goossens. Efficient multicast<br />

support in buffered crossbars using networks on chip. In Proc. Global<br />

Telecommunications Conference (GLOBECOM), pages 5024–5030, Piscataway,<br />

NJ, USA, December 2009. IEEE Press.<br />

55. Muhammad Aqeel Wahlah and Kees Goossens. Composable and persistentstate<br />

application swapping on FPGAs using hardwired network on chip.<br />

In Proc. Int’l Conference on Reconfigurable Computing and FPGAs (Re-<br />

ConFig), pages 380–385, December 2009.<br />

56. Anca Molnos, Aleksandar Milutinovic, Dongrui She, and Kees Goossens.<br />

Composable processor virtualization for embedded systems. In Proc.<br />

Workshop on Computer Architecture and Operating System Co-Design<br />

(CAOS), Lecture Notes in Computer Science (LNCS). Springer, January<br />

2010.<br />

57. Lotfi Mhamdi, Kees Goossens, and Iria Varela Senín. Buffered crossbar<br />

fabrics based on networks on chip. In Proc. Annual Conference on Communication<br />

Networks and Services Research (CNSR), pages 74–79. IEEE<br />

Computer Society, May 2010.<br />

58. Erik Larsson, Bart Vermeulen, and Kees Goossens. Distributed architecture<br />

for checking global properties during post silicon debug. In Proc.<br />

European Test Symposium (ETS), pages 182–187. IEEE, May 2010.<br />

59. Radu Stefan, Jason de Windt, and Kees Goossens. On-chip network<br />

interfaces supporting automatic burst write creation, posted writes and<br />

read prefetch. In Proc. Int’l Conference on Embedded Computer <strong>Systems</strong>:<br />

Architectures, MOdeling and Simulation (SAMOS), pages 185–192, July<br />

13


2010.<br />

60. Benny Akesson, Williston Hayes Jr., and Kees Goossens. Classification<br />

and analysis of predictable memory patterns. In Proc. Int’l Conference on<br />

Embedded and Real-Time Computing <strong>Systems</strong> and Applications (RTCSA),<br />

pages 367–376, Washington, DC, USA, August 2010. IEEE Computer<br />

Society.<br />

61. Kees Goossens, Dongrui She, Aleksandar Milutinovic, and Anca Molnos.<br />

Composable dynamic voltage and frequency scaling and power management<br />

for dataflow applications. In Proc. Euromicro Symposium on Digital<br />

System Design (DSD), pages 107–114, Washington, DC, USA, September<br />

2010. IEEE Computer Society.<br />

62. Andrew Nelson, Andreas Hansson, Henk Corporaal, and Kees Goossens.<br />

Conservative application-level performance analysis through simulation of<br />

MPSoCs. In Embedded <strong>Systems</strong> for Real-Time Multimedia (ESTIMedia),<br />

pages 51–60, October 2010.<br />

63. Erik Larsson, Bart Vermeulen, and Kees Goossens. Checking pipelined<br />

distributed global properties for post-silicon debug. In Proc. Workshop<br />

on RTL and high level testing (WRTLT), December 2010.<br />

64. Radu Stefan and Kees Goossens. An improved algorithm for slot selection<br />

in the Æthereal network-on-chip. In Proc. Interconnection Network<br />

Architecture: On-Chip, Multi-Chip (INA-OCMC), INA-OCMC ’11, pages<br />

7–10, New York, NY, USA, January 2011. ACM.<br />

65. Bart Vermeulen and Kees Goossens. Interactive debugging of systems on<br />

chip with multiple clocks. IEEE Design & Test of Computers, 28(3):44–<br />

51, May/June 2011. Special issue on Transaction-Level Validation of<br />

Multicore Architectures.<br />

66. Ashkan Beyranvand Nejad, Matías Escudero Martínez, and Kees Goossens.<br />

An FPGA bridge preserving traffic quality of service for on-chip networkbased<br />

systems. In Proc. Design, Automation and Test in Europe Conference<br />

and Exhibition (DATE), pages 1–6. IEEE, March 2011.<br />

67. Thijs Schenkelaars, Bart Vermeulen, and Kees Goossens. Optimal scheduling<br />

of switched FlexRay networks. In Proc. Design, Automation and Test<br />

in Europe Conference and Exhibition (DATE), pages 1–6, March 2011.<br />

68. Benny Akesson and Kees Goossens. Architectures and modeling of predictable<br />

memory controllers for improved system integration. In Proc. Design,<br />

Automation and Test in Europe Conference and Exhibition (DATE),<br />

pages 1–6. IEEE, March 2011.<br />

69. Benny Akesson, Williston Hayes Jr., and Kees Goossens. Automatic generation<br />

of efficient predictable memory patterns. In Proc. Int’l Conference<br />

on Embedded and Real-Time Computing <strong>Systems</strong> and Applications<br />

(RTCSA), volume 1, pages 177–184. IEEE Computer Society, August<br />

2011.<br />

70. Firew Siyoum, Benny Akesson, Sander Stuijk, Kees Goossens, and Henk<br />

Corporaal. Resource-efficient real-time scheduling using credit-controlled<br />

static-priority arbitration. In Proc. Int’l Conference on Embedded and<br />

Real-Time Computing <strong>Systems</strong> and Applications (RTCSA), volume 1, pages<br />

14


309–318. IEEE Computer Society, August 2011.<br />

71. Karthik Chandrasekar, Benny Akesson, and Kees Goossens. Improved<br />

power modeling of DDR SDRAMs. In Proc. Euromicro Symposium on<br />

Digital System Design (DSD), DSD ’11, pages 99–108, Washington, DC,<br />

USA, August 2011. IEEE Computer Society.<br />

72. Andrew Nelson, Orlando Moreira, Anca Molnos, Sander Stuijk, Ba Thang<br />

Nguyen, and Kees Goossens. Power minimisation for real-time dataflow<br />

applications. In Proc. Euromicro Symposium on Digital System Design<br />

(DSD), DSD ’11, pages 117–124, Washington, DC, USA, August 2011.<br />

IEEE Computer Society.<br />

73. Muhammad Aqeel Wahlah and Kees Goossens. PUMA: Placement unification<br />

with mapping and guaranteed throughput allocation on an FPGA<br />

using a hardwired NoC. In Proc. Euromicro Symposium on Digital System<br />

Design (DSD), pages 88–96. IEEE, August 2011.<br />

74. Muhammad Aqeel Wahlah and Kees Goossens. A non-intrusive online<br />

FPGA test scheme using a hardwired network on chip. In Proc. Euromicro<br />

Symposium on Digital System Design (DSD), DSD ’11, pages 351–359,<br />

Washington, DC, USA, August 2011. IEEE Computer Society.<br />

75. Radu Stefan and Kees Goossens. Enhancing the security of time-divisionmultiplexing<br />

networks-on-chip through the use of multipath routing. In<br />

Proc. Int’l Workshop on Network on Chip Architectures (NOCARC), New<br />

York, NY, USA, December 2011. ACM.<br />

76. Radu Stefan, Ashkan Beyranvand Nejad, and Kees Goossens. Online<br />

allocation for contention-free-routing nocs. In Proc. Interconnection Network<br />

Architecture: On-Chip, Multi-Chip (INA-OCMC), pages 13–16, New<br />

York, NY, USA, 2012. ACM Press.<br />

77. Radu Stefan, Anca Molnos, Angelo Ambrose, and Kees Goossens. A<br />

TDM NoC supporting QoS, multicast, and fast connection set-up. In<br />

Proc. Design, Automation and Test in Europe Conference and Exhibition<br />

(DATE), pages 1283–1288, Dresden, Germany, March 2012. IEEE.<br />

78. Manil Dev Gomony, Christian Weis, Benny Akesson, Norbert Wehn, and<br />

Kees Goossens. DRAM selection and configuration for real-time mobile<br />

systems. In Proc. Design, Automation and Test in Europe Conference<br />

and Exhibition (DATE), pages 51–56, Dresden, Germany, March 2012.<br />

IEEE.<br />

79. Davit Mirzoyan, Benny Akesson, and Kees Goossens. Process-variation<br />

aware mapping of real-time streaming applications to MPSoCs for improved<br />

yield. In Int’l Symposium on Quality <strong>Electronic</strong> Design (ISQED),<br />

pages 41 –48, Santa Clara, CA USA, March 2012. IEEE.<br />

80. Anca Molnos, Ashkan Beyranvand Nejad, Ba Thang Nguyen, Sorin Cotofana,<br />

and Kees Goossens. Decoupled inter- and intra-application scheduling<br />

for composable and robust embedded MPSoC platforms. In Workshop<br />

on Mapping of Applications to MPSoCs (MAP2MPSOC), pages 13–21,<br />

New York, NY, USA, May 2012. ACM.<br />

81. Karthik Chandrasekar, Benny Akesson, and Kees Goossens. Run-time<br />

power-down strategies for real-time SDRAM memory controllers. In Proc.<br />

15


Design Automation Conference (DAC), pages 988–993, New York, NY,<br />

USA, June 2012. ACM.<br />

82. Fabrice Lemonnier, Philippe Millet, Gabriel Marchesan Almeida, Michael<br />

Hübner, Jürgen Becker, Sébastien Pillement, Olivier Sentieys, Martijn<br />

Koedam, Shubhendu Sinha, Kees Goossens, Christian Piguet, Marc-Nicolas<br />

Morgan, and Romain Lemaire. Towards future adaptive multiprocessor<br />

systems-on-chip: an innovative approach for flexible architectures. In<br />

Proc. Int’l Conference on Embedded Computer <strong>Systems</strong>: Architectures,<br />

MOdeling and Simulation (SAMOS), Samos, Greece, July 2012.<br />

83. Cor Meenderinck, Anca Molnos, and Kees Goossens. Composable virtual<br />

memory for an embedded SoC. In Proc. Euromicro Symposium on Digital<br />

System Design (DSD), Izmir, Turkey, September 2012.<br />

84. Gervin Thomas, Karthik Chandrasekar, Benny Akesson, Ben Juurlink,<br />

and Kees Goossens. A predictor-based power-saving policy for DRAM<br />

memories. In Proc. Euromicro Symposium on Digital System Design<br />

(DSD), Izmir, Turkey, September 2012.<br />

85. Arnaldo Azevedo, Bart Vermeulen, and Kees Goossens. Architecture and<br />

design flow for a debug event distribution interconnect. In Proc. Int’l<br />

Conference on Computer Design (ICCD), Montreal, Canada, September<br />

2012.<br />

86. Andrew Nelson, Anca Molnos, and Kees Goossens. Power versus quality<br />

trade-offs for adaptive real-time applications. In Embedded <strong>Systems</strong><br />

for Real-Time Multimedia (ESTIMedia), pages 75–84, Tampere, Finland,<br />

October 2012.<br />

87. Andrew Nelson, Anca Molnos, Ashkan Beyranvand Nejad, Davit Mirzoyan,<br />

Sorin Cotofana, and Kees Goossens. Embedded computer architecture<br />

laboratory: A hands-on experience programming embedded systems<br />

with resource and energy constraints. In Workshop on Embedded <strong>Systems</strong><br />

Education (WESE), Tampere, Finland, October 2012.<br />

88. Turhan Karadeniz, Lotfi Mhamdi, Kees Goossens, and J.J. Garcia-Luna-<br />

Aceves. Hardware design and implementation of a network-on-chip based<br />

load balancing switch fabric. In Proc. Int’l Conference on Reconfigurable<br />

Computing and FPGAs (ReConFig), Cancun, Mexico, December 2012.<br />

89. Kees Goossens, Arnaldo Azevedo, Karthik Chandrasekar, Manil Dev Gomony,<br />

Sven Goossens, Martijn Koedam, Yonghui Li, Davit Mirzoyan, Anca Molnos,<br />

Ashkan Beyranvand Nejad, Andrew Nelson, and Shubhendu Sinha.<br />

Virtual execution platforms for mixed-time-criticality applications: The<br />

CompSOC architecture and design flow. In Proc. Workshop on Compositional<br />

Theory and Technology for Real-Time Embedded <strong>Systems</strong> (CRTS),<br />

San Juan, Puerto Rico, December 2012.<br />

90. Pengcheng Huang, Orlando Moreira, Kees Goossens, and Anca Molnos.<br />

Throughput-constrained voltage and frequency scaling for real-time heterogeneous<br />

multiprocessors. In Proc. Symposium On Applied Computing<br />

(SAC), March 2013.<br />

91. Karthik Chandrasekar, Christian Weis, Benny Akesson, Norbert Wehn,<br />

and Kees Goossens. System and Circuit Level Power Modeling of Energy-<br />

16


Efficient 3D-Stacked Wide I/O DRAMs. In Proc. Design, Automation<br />

and Test in Europe Conference and Exhibition (DATE), March 2013.<br />

92. Manil Dev Gomony, Benny Akesson, and Kees Goossens. Architecture and<br />

optimal configuration of a real-time multi-channel memory controller. In<br />

Proc. Design, Automation and Test in Europe Conference and Exhibition<br />

(DATE), March 2013.<br />

93. Sven Goossens, Benny Akesson, and Kees Goossens. Conservative openpage<br />

policy for mixed time-criticality memory controllers. In Proc. Design,<br />

Automation and Test in Europe Conference and Exhibition (DATE),<br />

March 2013.<br />

4.5 Invited publications<br />

1. Paul Wielage and Kees Goossens. Networks on silicon: Blessing or nightmare?<br />

In Euromicro Symposium On Digital System Design, pages 196–<br />

200, Washington, DC, USA, September 2002. IEEE Computer Society.<br />

2. Kees Goossens. Formal methods for networks on chips. In Proc. Int’l<br />

Conference on Application of Concurrency to System Design (ACSD),<br />

pages 188–189, Washington, DC, USA, June 2005. IEEE Computer Society.<br />

3. Miron Abramovici, Neal Stollon, Kees Goossens, Bart Vermeulen, Jack<br />

Greenbaum, and Adam Donlin. You can catch more bugs with transaction<br />

level honey. In Int’l Conf. on Hardware/Software Codesign and System<br />

Synthesis (CODES+ISSS), pages 121–124, New York, NY, USA, October<br />

2008. ACM.<br />

4. Bart Vermeulen and Kees Goossens. A network-on-chip monitoring infrastructure<br />

for communication-centric debug of embedded multi-processor<br />

SoCs. In Proc. Int’l Symposium on VLSI Design, Automation and Test<br />

(VLSI-DAT), pages 183–186, April 2009.<br />

5. Anca Molnos, Jude Angelo Ambrose, Andrew Nelson, Radu Stefan, Sorin<br />

Cotofana, and Kees Goossens. A composable, energy-managed, real-time<br />

MPSOC platform. In Proc. Int’l Conference on Optimization of Electrical<br />

and <strong>Electronic</strong> Equipment (OPTIM), pages 870–876, May 2010.<br />

6. Bart Vermeulen and Kees Goossens. Obtaining consistent global state<br />

dumps to interactively debug systems on chip with multiple clocks. In<br />

Proc. Workshop on High-Level Design Validation and Test (HLDVT),<br />

pages 1–8, June 2010.<br />

7. Kees Goossens and Andreas Hansson. The Aethereal network on chip<br />

after ten years: Goals, evolution, lessons, and future. In Proc. Design<br />

Automation Conference (DAC), pages 306–311, June 2010.<br />

8. Andrew Nelson, Anca Molnos, and Kees Goossens. Composable power<br />

management with energy and power budgets per application. In Proc.<br />

Int’l Conference on Embedded Computer <strong>Systems</strong>: Architectures, MOdeling<br />

and Simulation (SAMOS), pages 396–403, July 2011.<br />

9. M. Ferger, M. Al Kadi, M. Hübner, M. Koedam, S. Sinha, K. Goossens,<br />

G. Marchesan Almeida, J. Rodrigo Azambuja, and J. Becker. Hardware<br />

17


software virtualization for the reconfigurable multicore platform. In<br />

Proc. Int’l Conference on Embedded and Ubiquitous Computing (EUC),<br />

December 2012. Invited paper.<br />

Almost all of these were peer reviewed.<br />

4.6 Special sessions and tutorials<br />

1. Saddek Bensalem, Kees Goossens, Christoph M. Kirsch, Roman Obermaisser,<br />

Edward A. Lee, and Joseph Sifakis. Time-predictable and composable<br />

architectures for dependable embedded systems. In Proc. ACM<br />

Int’l Conference on Embedded software (EMSOFT), EMSOFT ’11, pages<br />

351–352, New York, NY, USA, October 2011. ACM.<br />

2. Benny Akesson, Po-Chun Huang, Fabien Clermidy, Denis Dutoit, Kees<br />

Goossens, Yuan-Hao Chang, Tei-Wei Kuo, Pascal Vivet, and Drew Wingard.<br />

Memory controllers for high-performance and real-time MPSoCs — Requirements,<br />

architectures, and future trends. In Int’l Conf. on Hardware/Software<br />

Codesign and System Synthesis (CODES+ISSS), pages 3–<br />

12, October 2011.<br />

4.7 Local Conferences, Posters, etc.<br />

1. K. G. W. Goossens. Integrating hardware description languages and proof<br />

systems. In IFIP 12th World Computer Congress, September 1992.<br />

2. Wim Verhaegh, Gertjan Arnoldussen, Kees Goossens, and Marc Heijligers.<br />

Phideo: architectural synthesis for high-throughput digital signal processing.<br />

Philips Research Bulletin on IC Design, (31):9–11, 1997.<br />

3. Edwin Rijpkema, Kees Goossens, and Paul Wielage. A router architecture<br />

for networks on silicon. In Proceedings of Progress 2001, 2nd Workshop<br />

on Embedded <strong>Systems</strong>, Veldhoven, the Netherlands, October 2001.<br />

4. Xiao Ru, John Dielissen, Christer Svensson, and Kees Goossens. Synchronous<br />

latency-insensitive design in Æthereal NoC. Future Interconnects<br />

and Network on Chip workshop at Design, Automation and Test in<br />

Europe Conference and Exhibition (DATE), March 2006.<br />

5. Kees Goossens. Multiple use-cases for a network-on-chip design flow. Future<br />

Interconnects and Network on Chip workshop at Design, Automation<br />

and Test in Europe Conference and Exhibition (DATE), March 2006.<br />

6. Pengwei Ren, Alexandre M. Amory, Erik Jan Marinissen, Kees Goossens,<br />

Sandeep K. Goel, Georgi N. Gaydadjiev, Marcelo Lubaszewski, and Fernando<br />

Moraes. Test wrapper design that allows a core to be tested via a<br />

network-on-chip or other functional interconnect. Diagnostic Services in<br />

Networks-on-Chips workshop at Design, Automation and Test in Europe<br />

Conference and Exhibition (DATE), April 2007.<br />

7. Aleksandar Milutinović, Kees Goossens, and Gerard J.M. Smit. Slack<br />

exploitation for aggressive dynamic power reduction in SoC. In Proc.<br />

Annual Workshop on Circuits, <strong>Systems</strong> and Signal Processing (ProRisc),<br />

Veldhoven, The Netherlands, November 2007.<br />

18


8. Andreas Hansson, Maarten Wiggers, Arno Moonen, Kees Goossens, and<br />

Marco Bekooij. Applying dataflow analysis to dimension buffers for guaranteed<br />

performance in networks on chip. In Proc. Int’l Symposium on<br />

Networks on Chip (NOCS), pages 211–212, April 2008.<br />

9. Muhammad Aqeel Wahlah and Kees Goossens. Hardwired NOC infrastructure<br />

with integrated configuration and functional architectures.<br />

In Proc. Annual Workshop on Circuits, <strong>Systems</strong> and Signal Processing<br />

(ProRisc), November 2008.<br />

10. R. Benjaminsen, F. Duarte, J. Huisken, and K. Goossens. Gate-level<br />

power analysis of on-chip communication infrastructures for biomedical<br />

applications. In Proc. Annual Workshop on Circuits, <strong>Systems</strong> and Signal<br />

Processing (ProRisc), November 2009.<br />

11. Aleksandar Milutinović, Anca Molnos, Kees Goossens, and Gerard J.M.<br />

Smit. Dynamic voltage and frequency scaling and adaptive body biasing<br />

for active and leakage power reduction in MPSOC: a literature overview.<br />

In Proc. Annual Workshop on Circuits, <strong>Systems</strong> and Signal Processing<br />

(ProRisc), Veldhoven, The Netherlands, November 2009.<br />

12. Ashkan Beyranvand Nejad, Kees Goossens, Johan Walters, and Bart Kienhuis.<br />

Mapping KPN models of streaming applications on a network-onchip<br />

platform. In Proc. Annual Workshop on Circuits, <strong>Systems</strong> and<br />

Signal Processing (ProRisc), November 2009.<br />

13. Radu Stefan and Kees Goossens. NoC security using multipath routing.<br />

In Proc. Annual Workshop on Circuits, <strong>Systems</strong> and Signal Processing<br />

(ProRisc), November 2009.<br />

14. Muhammad Aqeel Wahlah and Kees Goossens. Run-time FPGA testing<br />

using hardwired network on chip. In Proc. Annual Workshop on Circuits,<br />

<strong>Systems</strong> and Signal Processing (ProRisc), November 2009.<br />

15. Muhammad Aqeel Wahlah and Kees Goossens. 3-tier reconfiguration<br />

model for FPGAs using hardwired network on chip. In Proc. Int’l Conference<br />

on Field-Programmable Technology (FPT), December 2009.<br />

16. Erik Larsson, Bart Vermeulen, and Kees Goossens. Checking pipelined<br />

distributed global properties at post-silicon debug. In DAC Workshop on<br />

Diagnostic Services in Network-on-Chips (DSNoC), June 2010.<br />

17. Davit Mirzoyan, Benny Akesson, and Kees Goossens. Impact of process<br />

variations on the throughput of real-time applications in multiprocessor<br />

systems-on-chip. In Proc. Annual Workshop on PROGram for Research<br />

on Embedded <strong>Systems</strong> & Software (Progress), November 2010.<br />

18. Ashkan Beyranvand Nejad, Matias Escudero Martinez, and Kees Goossens.<br />

On-chip interconnect protocol stack exploration for FPGA board-to-board<br />

bridging. In Proc. Annual Workshop on PROGram for Research on Embedded<br />

<strong>Systems</strong> & Software (Progress), November 2010.<br />

19. Karthik Chandrasekar, Benny Akesson, and Kees Goossens. Modeling<br />

and optimizing power for a real-time SDRAM controller. In Proc. Annual<br />

Workshop on PROGram for Research on Embedded <strong>Systems</strong> & Software<br />

(Progress), November 2010.<br />

20. Andrew Nelson, Orlando Moreira, Sander Stuijk, Anca Molnos, Kees<br />

19


Goossens, and Thang Nguyen. Ideas on power minimisation for realtime<br />

dataflow applications through voltage & frequency scaling. In Proc.<br />

Annual Workshop on Circuits, <strong>Systems</strong> and Signal Processing (ProRisc),<br />

Veldhoven, The Netherlands, November 2010.<br />

21. Jude Ambrose, Anca Molnos, Andrew Nelson, Sorin Cotofana, Kees Goossens,<br />

and Ben Juurlink. Composable local memory organisation for streaming<br />

applications on embedded MPSoCs. In Proc. Int’l Conference on Computing<br />

Frontiers (CF), May 2011.<br />

22. Ashkan Beyranvand Nejad, Anca Molnos, and Kees Goossens. A unified<br />

execution model for data-driven applications on a composable MPSoC. In<br />

Proc. Euromicro Symposium on Digital System Design (DSD), August<br />

2011.<br />

23. Arnaldo Azevedo, Bart Vermeulen, and Kees Goossens. Architecture of<br />

the event distribution network for cross-triggering debug. In Proc. Annual<br />

Workshop on PROGram for Research on Embedded <strong>Systems</strong> & Software<br />

(Progress), November 2011. Abstract & Poster.<br />

24. Ashkan Beyranvand Nejad, Anca Molnos, and Kees Goossens. Enabling<br />

time-triggered scheduling on a composable embedded system. In Proc.<br />

Annual Workshop on PROGram for Research on Embedded <strong>Systems</strong> &<br />

Software (Progress), November 2011. Abstract & Poster.<br />

25. Karthik Chandrasekar, Benny Akesson, and Kees Goossens. Predictable<br />

power-down policies for SDRAMs. In Proc. Annual Workshop on PRO-<br />

Gram for Research on Embedded <strong>Systems</strong> & Software (Progress), November<br />

2011. Abstract & Poster.<br />

26. Manil Dev Gomony, Benny Akesson, and Kees Goossens. A parallel-access<br />

method for 3D-stacked DRAMs. In Proc. Annual Workshop on PRO-<br />

Gram for Research on Embedded <strong>Systems</strong> & Software (Progress), November<br />

2011. Abstract & Poster.<br />

27. Sven Goossens, Benny Akesson, and Kees Goossens. Reconfiguration<br />

of a predictable and composable SDRAM controller with persistent applications.<br />

In Proc. Annual Workshop on PROGram for Research on<br />

Embedded <strong>Systems</strong> & Software (Progress), November 2011. Abstract &<br />

Poster.<br />

28. Davit Mirzoyan, Benny Akesson, and Kees Goossens. Impact of process<br />

variation on QoS in SRT applications. In Proc. Annual Workshop<br />

on PROGram for Research on Embedded <strong>Systems</strong> & Software (Progress),<br />

November 2011. Abstract & Poster.<br />

29. Andrew Nelson, Anca Molnos, and Kees Goossens. A design concept for<br />

independent multi-application development. In Proc. Annual Workshop<br />

on PROGram for Research on Embedded <strong>Systems</strong> & Software (Progress),<br />

November 2011. Abstract & Poster.<br />

30. Radu Stefan and Kees Goossens. Run-time allocation in contention-free<br />

routing NoCs. In Proc. Annual Workshop on PROGram for Research on<br />

Embedded <strong>Systems</strong> & Software (Progress), November 2011. Abstract &<br />

Poster.<br />

31. Pavel G. Zaykov, Anca M. Molnos, Georgi Kuzmanov, and Kees Goossens.<br />

20


Communication of slack availability with timestamps in multiprocessor<br />

systems. In Proc. Annual Workshop on PROGram for Research on<br />

Embedded <strong>Systems</strong> & Software (Progress), November 2011. Abstract &<br />

Poster.<br />

32. Sven Goossens, Tim Kouters, Benny Akesson, and Kees Goossens. Memorymap<br />

selection for firm real-time SDRAM controllers. In Proc. Design, Automation<br />

and Test in Europe Conference and Exhibition (DATE), March<br />

2012. Poster.<br />

33. Benny Akesson, Sander Stuijk, Anca Molnos, Martijn Koedam, Radu Stefan,<br />

Andrew Nelson, Ashkan Beyranvand, and Kees Goossens. Virtual<br />

platform for mixed-time criticality applications: The CoMPSoC architecture<br />

and SDF3 design flow. In Quo Vadis, Virtual Platforms? Challenges<br />

and Solutions for Today and Tomorrow (QVVP), March 2012. Abstract<br />

& Poster.<br />

34. Manil Dev Gomony, Christian Weis, Benny Akesson, Norbert Wehn, and<br />

Kees Goossens. DRAM selection and configuration for real-time mobile<br />

systems. In Proc. ICT.OPEN, October 2012. Abstract & Poster.<br />

35. Sven Goossens, Tim Kouters, Benny Akesson, and Kees Goossens. Memorymap<br />

selection for firm real-time SDRAM controllers. In Proc. ICT.OPEN,<br />

October, 2012. Abstract & Poster.<br />

36. Yonghui Li, Benny Akesson, and Kees Goossens. Dynamic command<br />

scheduling for real-time memory controller. In Proc. ICT.OPEN, October<br />

2012. Abstract & Poster.<br />

37. Shubhendu Sinha, Martijn Koedam, Kees Goossens, and Marc Geilen.<br />

Resource manager for TDM network on chips. In Proc. ICT.OPEN,<br />

October 2012. Abstract & Poster.<br />

38. Benny Akesson, Martijn Koedam, Anca Molnos, Ashkan Beyranvand Nejad,<br />

Andrew Nelson, Sander Stuijk, , and Kees Goossens. Virtual execution<br />

platforms for mixed time-criticality applications: Demonstrating the<br />

CompSoC platform and design flow. In Proc. RTSS@Work demo session,<br />

December 2012.<br />

4.8 Paper Awards<br />

• 2003 DATE paper (“Trade offs in the design of a router with both guaranteed<br />

and best-effort services for networks on chip”): selected as one of the<br />

30 most influential papers of 10 years of the DATE conference, published<br />

by Springer in their Circuits & <strong>Systems</strong> series.<br />

• 2005 CODES+ISSS paper (“A unified approach to constrained mapping<br />

and routing on network-on-chip architectures”): best paper award.<br />

• 2006 IES paper (“Transaction monitoring in networks on chip: The onchip<br />

run-time perspective”): best paper award.<br />

• 2006 ISCE paper (“Combined reservation and adaptation QoS for improving<br />

picture quality and resource usage of multimedia (NoC) chips.”): best<br />

21


paper award (3rd place).<br />

• 2010 Progress poster (“Impact of process variations on the throughput<br />

of real-time applications in multiprocessor systems-on-chip”): best poster<br />

award.<br />

• 2010 DAC paper (“The Aethereal network on chip after ten years: Goals,<br />

evolution, lessons, and future.”) received the HiPEAC Paper Award.<br />

• 2012 DAC paper (“Run-time power-down strategies for real-time SDRAM<br />

memory controllersXS.”) received the HiPEAC Paper Award.<br />

4.9 Press Coverage<br />

Reports on my research, or quoting me.<br />

1. “Networks on Processors Improve On-Chip Communications” by David<br />

Geer. Computer Volume 42, Issue 3, March 2009.<br />

2. “SoC stumbling blocks cataloged at DATE” by Nicolas Mokhoff and Chris<br />

Edwards. EE Times of coverage of “network on chip” hot topic at DATE,<br />

March 2002.<br />

3. “Networking concepts inspire next-gen SoCs” by Richard Goering. EE<br />

Times coverage of MPSOC, 2002.<br />

4. Article on networks on chip, with details on the Æthereal network. In<br />

Japanese by Masahide Kimura, Nikkei Microdevices, pp 21–29, August<br />

2003.<br />

5. “Design View from Japan: ST, Philips Steal Show at DATE 06” by Ikutaro<br />

Kojima. NE Asia online, May 2006. Coverage of our DATE article on<br />

high-end consumer-electronics TV system architectures.<br />

4.10 Technical Notes<br />

1. K. G. W. Goossens. Embedding a CHDDL in a proof system. LFCS Report<br />

Series ECS-LFCS-91-155, LFCS, Department of Computer Science,<br />

University of Edinburgh, May 1991.<br />

2. K. G. W. Goossens. Operational semantics based formal symbolic simulation.<br />

LFCS Report Series ECS-LFCS-92-231, LFCS, Department of<br />

Computer Science, University of Edinburgh, September 1992.<br />

3. K. G. W. Goossens. The formalisation of a hardware description language<br />

in a proof system: Motivation and applications. LFCS Report Series<br />

ECS-LFCS-93-269, LFCS, Department of Computer Science, University<br />

of Edinburgh, June 1993.<br />

4. K. G. W. Goossens. Structure and behaviour in hardware verification.<br />

LFCS Report Series ECS-LFCS-93-273, LFCS, Department of Computer<br />

Science, University of Edinburgh, July 1993.<br />

5. K. G. W. Goossens. Reasoning about VHDL using operational and observational<br />

semantics. Rapporto di Ricerca SI/RR 95/06, Dipartimento di<br />

Scienze dell’Informazione, Università di Roma “La Sapienza”, April 1995.<br />

22


6. P. Lippens, Z. Chamski, B. Kastrup, W. Kloosterhuis, M. Heijligers,<br />

L. Augusteijn, K. Goossens, M. Lindwer, E. van der Horst, and W. Smits.<br />

C-Frontend working group; report on activities. Technical Note 195/98,<br />

Philips Research, May 1998.<br />

7. Kees Goossens, Marc Heijligers, Erwin de Kock, Wido Kruijtzer, and<br />

Frans Theeuwen. Concepts for a system-level design flow. Technical<br />

Note 2001/00440, Philips Research, November 2001.<br />

8. K. Goossens, J. Dielissen, J. van Meerbergen, P. Poplavko, A. Radulescu,<br />

E. Rijpkema, P. Wielage, and E. Waterlander. Networks on chip. IST<br />

newsletter, 2002.<br />

9. M. Edzes, K. Goossens, P. Klapproth, K. Locker, S. Nayak, T. Pontius,<br />

A. Radulescu, and D. Taussig. Amba 3.0 proposal update response.<br />

Technical Note 2003/00064, Philips Research, 2003.<br />

10. K. Goossens, P. Klapproth, K. Locker, A. P. Niranjan, A. Radulescu,<br />

J. Roever, and D. Taussig. OCP 2.0 review report. Technical Note<br />

2003/00063, Philips Research, 2003.<br />

11. Andrei Rădulescu and Kees Goossens. Æthereal services. Technical Note<br />

2003/00577, Philips Research, July 2003.<br />

12. Andrei Rădulescu, John Dielissen, Kees Goossens, Edwin Rijpkema, and<br />

Paul Wielage. Æthereal network interface design. Technical Note 2003/01005,<br />

Philips Research, December 2003.<br />

13. Thomas M. Philipp, Kees Goossens, and Andrei Rădulescu. Modelling of<br />

the Æthereal network on chip using the Aachen NOC Exploration Framework.<br />

Technical Note 2004/00485, Philips Research, September 2004.<br />

14. Tomaz Felicijan, John Dielissen, and Kees Goossens. Asynchronous<br />

TDMA networks on chip. Technical Note 2004/00081, Philips Research,<br />

January 2005.<br />

15. Razvan Dinu, Kees Goossens, and Andrei Rădulescu. Refactoring the<br />

Æthereal network-on-chip design flow: Data model. Technical Note<br />

2005/00129, Philips Research, February 2005.<br />

16. John Dielissen, Andrei Rădulescu, and Kees Goossens. Power measurements<br />

and analysis of a network on chip. Technical Note 2005/00282,<br />

Philips Research, April 2005.<br />

17. Razvan Dinu, Kees Goossens, and Andrei Rădulescu. Æthereal networkon-chip<br />

design flow system architecture. Technical Note 2005/00271,<br />

Philips Research, March 2005.<br />

18. Andreas Hansson, Kees Goossens, and Andrei Rădulescu. UMARS:<br />

A unified approach to mapping and routing on a combined guaranteed<br />

service and best-effort network-on-chip architecture. Technical Report<br />

2005/00340, Philips Research, April 2005.<br />

19. Benny ˚Akesson, Kees Goossens, and Andrei Rădulescu. An analytical<br />

model for a memory controller offering hard-real-time guarantees. Technical<br />

Report 2005/00353, Philips Research, April 2005.<br />

20. Xiao Ru, John Dielissen, Kees Goossens, and Christer Svensson. Synchronous<br />

latency-insensitive design in Æthereal. Technical Note 2005/00352,<br />

Philips Research, August 2005.<br />

23


21. Razvan Dinu, Kees Goossens, Andrei Rădulescu, Peter van den Hamer,<br />

and Ewa Hekstra-Nowacka. Refactoring the aethereal network-on-chip<br />

design flow: Extended data model. Technical Note 2005/00685, Philips<br />

Research, August 2005.<br />

22. Razvan Dinu, Kees Goossens, and Andrei Rădulescu. Analysis and refactoring<br />

of a design flow for application-specific networks on chip. Technical<br />

Note 2005/00686, Philips Research, 2005.<br />

23. Prathiba Sharma, Kees Goossens, Andrei Rădulescu, and Martijn Coenen.<br />

Configuring the æthereal NoC using an ARM processor. Technical Note<br />

2005/00568, Philips Research, July 2005.<br />

24. Santiago González Pestana, Kees Goossens, Andrei Rădulescu, and Rikard<br />

Thid. Framework and performance metric definitions: A first step towards<br />

network-on-chip benchmarking. Technical Note 2006/00003, Philips Research,<br />

January 2006.<br />

25. Andreas Hansson, Kees Goossens, and Andrei Rădulescu. Analysis of<br />

message-dependent deadlock in network-based systems on chip. Technical<br />

Report 2006/00230, Philips Research, March 2006.<br />

26. Markus Ringhofer, Kees Goossens, and Benny ˚Akesson. Design and implementation<br />

of a memory controller for real-time applications. Technical<br />

Note 2006/00500, Philips Research, November 2006.<br />

27. Remco van Steeden, Martijn Bennebroek, Kees Goossens, and Bart Vermeulen.<br />

Communication-centric debug of systems on chip using networks<br />

on chip. Technical Note 2006-00541, Philips Research, October 2006.<br />

28. Pengwei Ren, Erik Jan Marinissen, Kees Goossens, Sandeep Goel, and<br />

Georgi Gaydadjiev. Wrapper design for the reuse of a NOC or other<br />

functional interconnect as test infrastructure. Technical Note NPX-R-TN<br />

2007/00094, NXP Semiconductors Research, May 2007.<br />

29. Benny ˚Akesson, Liesbeth Steffens, Eelke Strooisma, and Kees Goossens.<br />

Real-time scheduling of hybrid systems using credit-controlled static-priority<br />

arbitration. Technical Note NPX-TN 2007/00119, NXP Semiconductors<br />

Research, September 2007.<br />

30. Siddharth Umrani, Kees Goossens, and Bart Vermeulen. Debug infrastructure<br />

for communication-centric debug of systems-on-chip using a<br />

network-on-chip. Technical Note NPX-TN 2007/00132, NXP Semiconductors<br />

Research, December 2007.<br />

31. Radu Stefan, Ioannis Sourdis, Georgi Gaydadjiev, and Kees Goossens.<br />

Comparison of custom topology networks against rigid interconnects. Technical<br />

Report CE-TR-2008-01, Computer Engineering, Delft University of<br />

Technology, February 2008.<br />

32. Eelke Strooisma, Benny ˚Akesson, Kees Goossens, and Ad Siereveld. A predictable<br />

and composable front-end for system on chip memory controllers.<br />

Technical Note NXP-TN-2007-00281, NXP Semiconductors, May 2008.<br />

33. Firew Siyoum, Benny Akesson, Sander Stuijk, Kees Goossens, and Henk<br />

Corporaal. Dataflow model for credit-controlled static-priority arbitration.<br />

Technical Report ESR-2010-03, <strong>Electronic</strong> <strong>Systems</strong>, Eindhoven university<br />

of technology, October 2010.<br />

24


5 Patents<br />

5.1 Granted patents<br />

Note that granted patents are often also still pending in other countries (e.g.<br />

EP, US, KR, JR, DE).<br />

1. WO2004034176(A2), EP2003748424 granted 26/9/2007, US7366818<br />

granted 29/5/2008, “Integrated circuit for establishing transactions,”<br />

Andrei Rădulescu, Kees G. W. Goossens<br />

2. WO2004034676(A1), EP2003740945 granted 19/9/2007, US7769893<br />

granted 3/8/2010, “Integrated circuit for establishing transactions,”<br />

Kees G. W. Goossens<br />

3. WO2004099999(A2,A3), US7356669(B2) granted 8/4/2008, KR1020057020935<br />

granted 12/7/2011, “Processing system and method for transmitting<br />

data,” Andrei Rădulescu, Kees G. W. Goossens<br />

4. WO2005091574(A1), US10598795 granted 22/9/2009, EP1728364(A1)<br />

request for examination, JP2007529808(T), CN1934831(A), “Integrated<br />

circuit and method of communication service mapping,” Andrei Rădulescu,<br />

Kees Goossens<br />

5. WO2005093590(A1), US10599201 granted 29/3/2011, EP2005709014<br />

granted 26/5/2010), KR20070003969(A), JP2007534052(T), CN1934550(A),<br />

“Integrated circuit and method for transaction retraction,” Andrei Rădulescu,<br />

Kees Goossens<br />

6. WO2005111823(A1), US11569083 granted 27/12/2011, EP2005739743<br />

granted 21/05/2008, “Integrated circuit and method for buffering to<br />

optimize burst length in networks on chips,” Andrei Rădulescu, Kees<br />

Goossens<br />

7. WO2005122631(A1), EP2005745222 granted 21/11/2007, KR1020067025908<br />

granted 27/10/2011 “Integrated circuit and method for time slot allocation,”<br />

O. P. Gangwal, Andrei Rădulescu, Kees Goossens<br />

8. WO2004100006(A1),KR1020057020935 granted 12/7/2011, EP1623330(A1)<br />

examination in progress, US2006253604(A1) and continuation US 2011/0219155(A1)<br />

granted 8/9/2011, JP2006525587(T), CN100390771(C), CN1784669 (A),<br />

“Processing system and method for transmitting data,” Andrei Rădulescu,<br />

Kees G. W. Goossens<br />

9. WO2006059284(A1), US11720211 granted 8/12/2009, EP1820356(A1),JP2008522306(T),<br />

CN101069434(A), “Data processing system and method for converting and<br />

synchronising data,” Kees Goossens, Andrei Rădulescu and Edwin Rijpkema<br />

10. WO2005093591(A1), US10599215 granted 3/11/2009, EP1735712(A1)<br />

examination in progress, KR20070010127(A), JP2007531101(T), CN1938695(A),<br />

“Integrated circuit and method for transaction abortion,” Andrei Rădulescu,<br />

Kees Goossens<br />

11. WO2008004187, EP2041920 granted 13/4/2011, US7969899 granted<br />

28/6/2011, “<strong>Electronic</strong> device, system on chip and method of monitoring<br />

data traffic,” Kees Goossens and Calin Ciordas.<br />

25


12. WO2004034590(A2,A3), EP2003807931 granted 12/9/2007, KR1020057005982<br />

granted 30/11/2010, “Integrated circuit and method for sending requests,”<br />

Andrei Rădulescu, Kees G. W. Goossens<br />

13. WO2006123287(A2,A3), US8005097 granted 23/8/2011, JP2008541647(T),<br />

CN101176318(A), “Integrated circuit and method of arbitration in a network<br />

on an integrated circuit,” Rikard Thid, Kees Goossens, and Andrei<br />

Rădulescu.<br />

14. WO2004100005(A1), US7412549(B2) granted 12/8/2008, KR1020057021132<br />

granted 15/7/2011, “Processing system and method for communicating<br />

data,” Andrei Rădulescu, Kees G. W. Goossens<br />

15. WO2004034173(A2,A3), US7373449 granted 13/5/2008, KR1020057005986<br />

granted 29/11/2010, “Integrated circuit and method for exchanging<br />

data,” Andrei Rădulescu, Kees G. W. Goossens<br />

5.2 Published pending patents<br />

Patent applications in various countries at various stages.<br />

1. WO2003034657(A2,A3), JP withdrawn, US20030074389(A1), AU2002329567(A1),<br />

“Scheme for dynamic process network reconfiguration,” I-Chih Kang, Albert<br />

van der Werf, Kees G.W. Goossens<br />

2. WO2004056051(A1), EP1576773(A1) request for examination, US2006077974(A1),<br />

JP2006511115(T), CN1729661(A), AU2003276606 (A1), “Return-path derivation<br />

in packet-switched networks,” Kees G. W. Goossens, Edwin Rijpkema,<br />

and Paul Wielage<br />

3. WO2005103934(A1), EP1743251(A1) examination in progress, US2007234006(A1),<br />

KR20070010152(A), JP2007535057(T), CN1947112(A), “Integrated circuit<br />

and method for issuing transactions,” Andrei Rădulescu, Kees Goossens<br />

4. WO2006018751(A1), EP1779608(A1), US2008123541(A1), JP2008510337(T),<br />

CN101002443(A), “A method for allocating data to at least one packet in<br />

an integrated circuit,” John Dielissen, Kees Goossens, Andrei Rădulescu,<br />

Edwin Rijpkema<br />

5. WO2006051471(A1), EP1813066(A1) published, US2008123666(A1), JP2008520119(T),<br />

CN101053225(A), “<strong>Electronic</strong> device and method of communication resource<br />

allocation”, Calin Ciordas, Kees Goossens, and Andrei Rădulescu<br />

6. WO2006056904(A2,A3) withdrawn, “Globally Asynchronous Locally Synchronous<br />

<strong>Systems</strong>,” Kees van Kaam, John Dielissen, Kees Goossens<br />

7. WO2006059277(A2,A3), EP1839183(A2) withdrawn, US2008144670(A1),<br />

P2008522526(T), CN101069174(A), “Data processing system and method<br />

for synchronizing data traffic,” Kees Goossens and Andrei Rădulescu<br />

8. WO2006067709(A1), EP5825568 withdrawn, “Data processing system and<br />

method for configuring a network on an at least one integrated circuit,”<br />

Edwin Rijpkema, John Dielissen, Kees Goossens<br />

9. WO2006092768(A1), EP1859575(A1) withdrawn, US2008215786(A1), JP2008532169(T),<br />

CN101133597(A), “Device and method for arbitrating shared resources,”<br />

Kees Goossens, John Dielissen, Andrei Rădulescu, Edwin Rijpkema, and<br />

Paul Wielage<br />

26


10. WO2006117746(A1), EP0715261(A1) examination in progress, EP1880296,<br />

US2008244135(A1), JP2008541217(T), “Memory controller and method<br />

for controlling access to a memory, as well as system comprising a memory<br />

controller,” Benny Akesson, Andrei Rădulescu, Kees Goossens, Frits<br />

Steenhof<br />

11. WO2007010461(A2,A3), EP1911218 (A2), US2008232387(A1), JP2009502080(T),<br />

CN101223745(A), “<strong>Electronic</strong> device and method of communication resource<br />

allocation,” Edwin Rijpkema, Andrei Radulescu, Kees Goossens,<br />

and John Dielissen<br />

12. WO2007122547(A2,A3), EP2014032(A2), JP2009507206, “<strong>Electronic</strong> device<br />

with end-to-end flow control of messages,” Srinivasan Murali, Martijn<br />

Coenen, Andrei Rădulescu, and Kees Goossens<br />

13. WO2008004185, EP2041933, “<strong>Electronic</strong> device, system on chip and method<br />

for monitoring data traffic,” Kees Goossens, Calin Ciordas, Andrei Rădulescu<br />

14. WO2008004188, EP2041661, “<strong>Electronic</strong> device, system on chip and method<br />

for monitoring a data flow,” Calin Ciordas, Kees Goossens, Andrei Rădulescu<br />

15. WO2008018017(A2,A3), “System for communication control of integrated<br />

circuits,” Martijn Bennebroek, Kees Goossens, Bart Vermeulen.<br />

16. WO2008035265(A3), “<strong>Electronic</strong> device and controlling a communication<br />

between processing units,” Kees Goossens and Martijn Coenen.<br />

17. EP2428912, “ System and method for responding to a request received at<br />

an object with an RFID device” Kees Goossens and Lukasz Szostek.<br />

18. WO2009138953(A1),“Power manager and method for managing power”<br />

Artur Burchard, Ger Kersten, Anca Molnos, Aleksandar Milutinovic, Kees<br />

Goossens, Liesbeth Steffens.<br />

19. WO2009125371(A2), “A method and system for power management,” Artur<br />

Burchard, Anca Molnos, Aleksandar Milutinovic, Kees Goossens, Liesbeth<br />

Steffens.<br />

6 Professional Activities<br />

6.1 Research Grants<br />

1. Definition of and principal investigator for Encoding Relational Semantics<br />

in Automated Proof <strong>Systems</strong> for a visiting researcher grant (1 year) for<br />

CNPq (Brasilian national grant body), 1993-1994.<br />

2. Responsible for the Philips contribution to the Multi-processor work package<br />

in the Medea+ MESA project, 2001-2004.<br />

3. Technical contribution to the Medea+ NEVA project, and responsibility<br />

for the Philips/NXP contribution to the Multi-Level-Networking work (30<br />

person years), 2005-2008.<br />

4. Supervisor of a Marie-Curie Early-Stage-Training fellow of the Sprint<br />

project, 2002-2005.<br />

5. Principal co-investigator for NXP and TUD for the Netherlands Streaming<br />

(NEST) STW project, 2009-2012 (4 years). 1 PhD and 2 PhDs at NXP<br />

27


and TUD, respectively.<br />

6. Principal investigator for NXP, and definition of contributions for NXP<br />

and TUD for the Tera-Scale Multi-Core Processor Architecture (TSAR)<br />

MEDEA+ project, 2008-2011 (3 years). 3 and 4.5 person years at NXP<br />

and TUD, respectively.<br />

7. Principal investigator for NXP, and definition of the NXP and TUD contributions<br />

for the 3D-TSV Integration for Multimedia and Mobile applications<br />

(3DIM3) CATRENE project, 2009-2011. 34 and 18 person years at<br />

NXP and TUD, respectively.<br />

8. Definition of the NXP and TUD contributions for the Communication-<br />

Centric Heterogeneous Multi-Core Architectures (COMCAS) CATRENE<br />

project, 2009-2011 (3 years). 24 and 12.3 person years at NXP and TUD,<br />

respectively.<br />

9. Principal investigator for NXP, and definition of NXP and TUD contributions<br />

for the Scalable Low-Power Embedded Platforms (SCALOPES)<br />

Artemis project, 2009-2010 (2 years). 33 and 9 person years at NXP and<br />

TUD, respectively.<br />

10. Principal investigator for NXP, and definition of the NXP and TUD contributions<br />

for the Industrial Exploitation Of The Genesys Cross-Domain<br />

Architecture (INDEXYS) 2008 Artemis project, 2009-2011 (2 years). 5<br />

and 2.5 person years at NXP and TUD, respectively.<br />

11. Principal co-investigator for TUD, and definition of TUD contributions<br />

for the Computing Fabric for high performance Applications (COBRA)<br />

2009 Catrene project, 2010-2012 (3 years). 15 person years at TUD.<br />

12. Principal investigator for the FP7-ICT-2011-7 project Time-predictable<br />

Multi-Core Architecture for Embedded <strong>Systems</strong> (T-CREST), grant agreement<br />

number 288008, 2011-2014 (3 years). 5.1 person years.<br />

13. Principal investigator for the FP7-ICT-2011-7 project Self adaptive heterogeneous<br />

manycore based on Flexible Tiles (Flextiles), grant agreement<br />

number 288248. 4.6 person years.<br />

6.2 Other Professional Activities<br />

1. 2007, External project proposal examinator for the System on Chip programme,<br />

Austrian Research Promotion Agency.<br />

2. 2008, Gave a two-day course on networks on chip for the European patent<br />

office (EPO) at Rijswijk.<br />

3. 2009, External project proposal examinator for the Swiss National Science<br />

Foundation.<br />

4. 2009, External project proposal examinator for the Estonian Science Foundation.<br />

5. 2009, Member of assessment committee for professor position, Technical<br />

University of Denmark.<br />

6. 2009, Member of assessment committee (“benoemingsadviescommissie”)<br />

for professor position, Technical University of Delft.<br />

7. 2010, External project proposal examinator for the System on Chip pro-<br />

28


gramme, Austrian Research Promotion Agency.<br />

8. 2011, Consultant for Philips on Network on Chip patent portfolio.<br />

9. 2011, Assessment for professor position, Philadelphia University of Jordania.<br />

10. 2011, Member of assessment committee (“benoemingsadviescommissie”)<br />

for professor position, Technical University of Delft.<br />

11. 2012, Member of habilitation committee for Prof. O. Hammami, Université<br />

Paris Sud.<br />

6.3 Journal & Conference Reviewing<br />

Editorial board:<br />

1. TODAES 2009-2013 Editorial board member for the Association for<br />

Computing Machinery (ACM) Transactions on Design Automation of<br />

<strong>Electronic</strong> <strong>Systems</strong>. (I served for the maximum term of 4 years.)<br />

2. DAEM 2006-present Associate editor for the Springer Journal of Design<br />

Automation of Embedded <strong>Systems</strong>.<br />

3. IJECRTS April 20011 to March 2013 Editorial Review Board of the Resources<br />

Management Association (IRMA) International Journal of Embedded<br />

and Real-Time Communication <strong>Systems</strong>.<br />

4. CDT 2008 Guest editor for the IET Computers and Digital Techniques<br />

special issue on networks on chip.<br />

5. DAEM 2011 Guest editor for the Springer Journal of Design Automation<br />

of Embedded <strong>Systems</strong> for the special issue on on Networks on chips: design<br />

flows and case studies.<br />

TPC / conference (co)-chair:<br />

1. ACSD 2006 Programme committee co-chair of Applications of Concurrency<br />

Theory to System Design.<br />

2. DATE 2005-2008 Technical programme committee co-chair for the Multiprocessors<br />

and Networks on Chip track of the Design Automation and Test<br />

in Europe Conference.<br />

3. NOCS 2007-2012 Steering committee member for the International Symposium<br />

on Networks on Chips.<br />

4. NOCS 2008 Programme co-chair for the International Symposium on<br />

Networks on Chips.<br />

5. NOCS 2009 Tutorial chair for the International Symposium on Networks<br />

on Chips.<br />

6. MPSOC 2012-2013 Co-chair for International Seminar on Application-<br />

Specific Multi-Processor <strong>Systems</strong> on Chip.<br />

TPC member:<br />

1. CODES+ISSS 2006-2012 Technical programme committee member of<br />

the International Conference on Hardware-Software Codesign and System<br />

Synthesis.<br />

2. CRTS 2012, Workshop on Compositional Theory and Technology for<br />

Real-Time Embedded <strong>Systems</strong>.<br />

29


3. DATE 2004, 2008-2013 Technical programme committee member for the<br />

Multi-processors and Networks on Chip track of the Design Automation<br />

and Test in Europe Conference.<br />

4. DSD 2008 Technical programme commmittee member of the Euromicro<br />

Conference on Digital System Design. 2010-2013, Special session programme<br />

“Multicore <strong>Systems</strong>: Design And Applications” steering member<br />

and committee member.<br />

5. ECRTS 2013 Technical programme commmittee member of the Euromicro<br />

Conference on Real-Time <strong>Systems</strong>.<br />

6. FPL 2010-2012, Technical programme commmittee member of the International<br />

Conference on Field Programmable Logic and Applications.<br />

7. ICPP 2011 Programme committee member of the Multi-core and Parallel<br />

<strong>Systems</strong> track of the International Conference on Parallel Processing.<br />

8. INA-OCMC 2007-2013 Programme committee member of the Interconnection<br />

Network Architectures: On-Chip, Multi-Chip workshop in conjunction<br />

with the HiPEAC Int. Conf. on High Performance Embedded<br />

Architectures & Compilers.<br />

9. MPSOC 2005-2011 Technical programme committee member of the International<br />

Seminar on Application-Specific Multi-Processor <strong>Systems</strong> on<br />

Chip.<br />

10. NOCS 2007-2013 Technical programme committee member of the International<br />

Symposium on Networks on Chips.<br />

11. OMHI 2012 Technical programme committee member of the International<br />

Workshop on On-chip memory hierarchies and interconnects: organization,<br />

management and implementation.<br />

12. PARMA 2011-2013 Technical programme committee member of the Workshop<br />

on Parallel Programming and Run-time Management Techniques for<br />

Many-core Architectures.<br />

13. QVVP Quo Vadis, Virtual Platforms? Challenges and Solutions for Today<br />

and Tomorrow, Programme committee DATE Friday workshop, 2012.<br />

14. ReConFig 2009 Technical programme committee member of the International<br />

Conference on ReConFigurable Computing and FPGAs.<br />

15. RTAS 2013 Technical programme committee member of the Real-Time<br />

and Embedded Technology and Applications Symposium.<br />

16. SAMOS 2007-2010 Programme committee member of the International<br />

Symposium on <strong>Systems</strong>, Architectures, MOdeling and Simulation.<br />

17. SDR 2010 Technical programme committee member of the Software Defined<br />

Radio Technical Conference (SDR).<br />

18. SOC 2004-2012 Scientific programme committee member of International<br />

Symposium on System-on-Chip (TUT, Finland).<br />

19. VLSI-SoC 2006 Technical programme committee member of IFIP International<br />

Conference on Very Large Scale Integration.<br />

Reviewing:<br />

1. CSUR 2011 Reviewer for ACM Computing Surveys.<br />

2. CDT 2005-2006 Reviewer for IEE Proceedings Computers & Digital Techniques.<br />

30


3. DAC 2006, 2007, 2011 Reviewer for the Design Automation Conference.<br />

4. DAES 2006 Reviewer for ACM Transactions on Design Automation of<br />

<strong>Electronic</strong> <strong>Systems</strong>.<br />

5. DTC 2004-2006,2011 Reviewer for IEEE Design and Test of Computers.<br />

6. ESSCIRC 2009 Reviewer for IEEE European Solid-State Circuits Conference<br />

7. GLSVLSI 2011 Great Lakes Symposium on VLSI.<br />

8. ICPP 2011 International Conference on Parallel Processing.<br />

9. ISCAS 2009,2010,2012 Reviewer for Int’l Symposium on Circuits and<br />

<strong>Systems</strong>.<br />

10. JCST 2012 Reviewer for Journal of Computer Science and Technology.<br />

11. JPDC 2010 Reviewer for Journal of Parallel and Distributed Computing<br />

12. MICPRO 2010 Reviewer for Elsevier Microprocessors and Microsystems.<br />

13. MR 2011 Reviewer for Elsevier Microelectronics Reliability journal.<br />

14. SAMOS 2011 Reviewer for International Symposium on <strong>Systems</strong>, Architectures,<br />

MOdeling and Simulation.<br />

15. TACO 2009 Reviewer for ACM Transactions on Architecture and Code<br />

Optimization.<br />

16. TCAS 2009 Reviewer for IEEE Transactions on Circuits and <strong>Systems</strong>.<br />

17. TCOMP 2007 Reviewer for IEEE Transactions on Computers.<br />

18. TECS 2010-2011 Reviewer for ACM Transactions on Embedded Computing<br />

<strong>Systems</strong>.<br />

19. TC 2007,2009 Reviewer for IEEE Transactions on Computers.<br />

20. TVLSI 2008, 2010 Reviewer for IEEE Transactions on Very Large scale<br />

Integration <strong>Systems</strong>.<br />

6.4 Teaching<br />

1. Created and lectured the short MSc course “ Topics in Theorem Proving”<br />

at the Universidade Federal de Pernambuco at Recife, 1994.<br />

2. Created and lectured the final-year elective MSc course “Network on chips”<br />

(ET4361) of 5 ECTS credits at the Delft university of Technology, academic<br />

years 2006/07 - 2008/09.<br />

3. Responsible for, and co-lectured the compulsory MSc course “Embedded<br />

System Lab” (5KK03) of 5 ECTS credits at the TUE, academic years<br />

2010/11 - 2012/2013. Student satisfaction score 8.7 in academic years<br />

2009/10, and 8.35 in 2010/11.<br />

4. Created, lectured, and supervised the course “Design of Complex <strong>Systems</strong>”<br />

(5JJ30) of 3 ECTS credits at the TUE, academic years 2010/11 (first-year<br />

EE bachelor) and 2012/13 (second-year year EE (Automotive) bachelor).<br />

5. Created and lectured the compulsory PDEng (post-master) course “Design<br />

and modelling of Complex <strong>Systems</strong>” (5Z028) of 5 ECTS credits at the<br />

TUE, academic years 2011/12, 2012/13.<br />

6. Responsible for, and supervision of PDEng (post-master) students for the<br />

compulsory course “ICT <strong>Systems</strong> (Group Project)” (5Z045) of 8 ECTS<br />

credits at the TUE, academic years 2011/12, 2012/2013.<br />

31


7. (Partially) created and co-lectured the compulsory BSC Automotive EE<br />

course “Vehicle networking” (5JJ75) of 5 ECTS credits at the TUE, academic<br />

year 2012/13.<br />

In the academic years starting 2008-2012, the CompSOC research platform<br />

has been used in the Embedded <strong>Systems</strong> Lab, a MSc course that is compulsory<br />

for Embedded <strong>Systems</strong> students. The number of students has grown from 30<br />

in the first year, to 55 in 2012. The course has always been very popular, and<br />

has consistently received very high ratings from students. The concepts and organisation<br />

of the course have been published in “Multi-Processor Programming<br />

in the Embedded System Curriculum” by Andreas Hansson, Benny kesson, and<br />

Jef van Meerbergen in Workshop on Embedded <strong>Systems</strong> Education (WESE),<br />

2008.<br />

For two academic years (2010/11, 2011/12), the Embedded <strong>Systems</strong> Lab<br />

also ran at the Delft University of Technology, with minor modifications. The<br />

course was organised and run by PhD students that I supervised (Stefan, Nelson,<br />

Beyranvand).<br />

In the academic years 2011-2012, the same CompSOC hardware platform<br />

was used, but with different assignments in the TU Delft’s Embedded Computer<br />

Architecture course. The concepts and organisation of this course have been<br />

published in [87].<br />

6.5 Organised Tutorials, Special Sessions, etc.<br />

I (co)-organised the following events:<br />

1. EMSOFT tutorial “Time-Predictable and Composable Architectures for<br />

Dependable Embedded <strong>Systems</strong>,” October 2011, with Saddek Bensalem.<br />

With participation of Prof. Sifakis, Prof. Obermaisser, Prof. Goossens,<br />

Prof. Lee, and Prof. Kirsch.<br />

2. CODES+ISSS special session “DRAM Controllers for High-Performance<br />

and Real-Time MPSoCs: Requirements, Architectures, and Future Trends,”<br />

October 2011. With participation of Drew Wingard, Sonics Inc., Tei-Wei<br />

Kuo, National university of Taiwan, Denis Dutoit, CEA LETI, Benny<br />

Akesson, Kees Goossens, Eindhoven University of Technology.<br />

3. “Designing Next-Generation Real-Time Streaming <strong>Systems</strong>” at ESWEEK,<br />

October 2011. With participation of TU Eindhoven, ST Ericsson, and<br />

University of California at Berkeley.<br />

4. CompSOC presentation and demonstration at the University Booth at<br />

Proc. Design, Automation and Test in Europe Conference and Exhibition<br />

(DATE), March 2012.<br />

5. Full-day tutorial on predictability and composability, Eindhoven, January<br />

2012. About 40 attendees, including from KTH, Sweden and KIT, Germany.<br />

6. “Designing Next-Generation Real-Time Streaming <strong>Systems</strong>” at HiPEAC,<br />

January 2013. With participation of TU Eindhoven, ST Ericsson, and<br />

University of Saarland.<br />

32


7. CompSOC presentation and demonstration at Real-Time <strong>Systems</strong> Symposium<br />

at Work session (RTSS), December 2012.<br />

6.6 Invited Presentations<br />

1. Hot topic “Network on a Chip,” Design Automation and Test in Europe<br />

Conference, France, March 2002.<br />

2. Invited speaker “<strong>Systems</strong> on Chip and Networks on chip: bridging the gap<br />

with QoS,” International Seminar on Application-Specific Multi-Processor<br />

<strong>Systems</strong> on Chip (MPSOC), France, July 2003.<br />

3. Invited speaker “How Networks on Chip can Contribute to Building Predictable<br />

<strong>Systems</strong> on Chip,” MEDEA+ workshop, Germany, November<br />

2003.<br />

4. Invited speaker “Predictable <strong>Systems</strong>: Reality, or just an illusion?” for<br />

International Seminar on Application-Specific Multi-Processor <strong>Systems</strong> on<br />

Chip (MPSOC), France, July 2004.<br />

5. Invited speaker “Predictable <strong>Systems</strong>: Reality, or just an illusion?” for<br />

Digital Circuits, Multimedia & Implementation track of ProRisc, Netherlands,<br />

November 2004.<br />

6. Invited speaker “Networks on Chip: Science or Science Fiction?” for the<br />

OCP Partnership panel “Networks on Chip: Great Idea, but where are<br />

the Implementations?” DATE Exhibition, March 2005.<br />

7. Invited speaker “Formal methods for system (and network) on chip design,”<br />

for International Conference on Application of Concurrency to System<br />

Design (ACSD), France, June 2005.<br />

8. Invited speaker “<strong>Systems</strong> on Chips: Personal computers or correct performance?”for<br />

International seminar on application-specific multi-processor<br />

systems on chip (MPSOC), France, July 2005.<br />

9. Invited speaker for panel “Looking Through the On-Chip Channels” of<br />

Future Interconnects and Network on Chip workshop at Design, Automation<br />

and Test in Europe Conference and Exhibition (DATE), Germany,<br />

March 2006.<br />

10. Invited speaker “Quality of Service for an uncertain World” for International<br />

seminar on application-specific multi-processor systems on chip<br />

(MPSOC), Colorado, August 2006.<br />

11. Participation in panel “Networks on Chip: Panacea for IP Integration &<br />

SoC Design?” of the Network on Chip panel at Design, Automation and<br />

Test in Europe Conference and Exhibition (DATE), April 2007.<br />

12. Invited speaker “Impact of diagnostic services on NOC architectures and<br />

design flows,” of the Diagnostic Services in Networks-on-Chips workshop<br />

at Design, Automation and Test in Europe Conference and Exhibition<br />

(DATE), April 2007.<br />

13. Invited speaker “Debug, Test, and Security Services on Networks on Chip”<br />

for International seminar on application-specific multi-processor systems<br />

on chip (MPSOC), Japan, June 2007.<br />

14. Invited speaker in the special session “You can catch more bugs with trans-<br />

33


action level honey” of the International Conference on Hardware/Software<br />

Codesign and System Synthesis (CODES+ISSS), October 2008.<br />

15. Invited speaker “Hardwired Networks on Chip for FPGAs” for the Tubs.City<br />

symposium, at the TU Braunschweig, Germany, July 2009.<br />

16. Invited speaker “Virtualisation in NOCs for enhanced MPSOC robustness<br />

and performance verification” in the work shop “Multiprocessor System<br />

on Chip – Current Trends and the Future” of the Design Automation<br />

Conference (DAC), July 2009.<br />

17. Invited speaker “Hardwired Networks on Chip for FPGAs” for International<br />

seminar on application-specific multi-processor systems on chip<br />

(MPSOC), Georgia, USA, August 2009.<br />

18. Invited speaker “The Aethereal NOC after Ten Years: Goals, Evolution,<br />

lessons, and Future” in the “A Decade of NOC Research - Where Do We<br />

Stand?” special session of the Design Automation Conference (DAC),<br />

June 2010.<br />

19. Invited speaker on the technical panel on “Tackling device degradation<br />

effects in NoCs” on the Workshop on Diagnostic Services in Network-on-<br />

Chips (DSNOC), June 2010.<br />

20. Invited speaker “Virtualised processor power management” for International<br />

seminar on application-specific multi-processor systems on chip (MP-<br />

SOC), Gifu, Japan, July 2010.<br />

21. Invited speaker “Debugging Embedded <strong>Systems</strong> – From ad hoc to science”<br />

ICES ENEA workshop on debugging, Kista, Sweden, October, 2010.<br />

22. Invited speaker “Debugging heterogeneous manycore systems” on the SOC<br />

design workshop organised by Intel, November 2010.<br />

23. Invited speaker on the panel on “Micro Power Management for Macro<br />

<strong>Systems</strong>-on-Chip” (µP M 2 SoC) workshop at the DATE, March 2011.<br />

24. Invited speaker “Architectures and modelling of predictable memory controllers<br />

for improved system integration” in the “Predictable System Integration”<br />

special session at DATE, March 2011.<br />

25. Invited speaker “Efficient Real-Time SDRAM performance” for International<br />

seminar on application-specific multi-processor systems on chip<br />

(MPSOC), France, July 2011.<br />

26. Invited speaker “The Aethereal network on chip” Newcastle university,<br />

December 2011.<br />

27. Invited speaker “CompSOC: A Composable and Predictable Execution<br />

Platform” on the “Quo Vadis, Virtual Platforms: Challenges and Solutions<br />

for Today and Tomorrow” (QVVP’12) workshop at the DATE, March<br />

2012.<br />

28. Invited talk about IMEC-NL on networks on chip, in particular low power<br />

aspects, April 2012.<br />

29. Invited speaker “CompSOC: A Mixed-Criticality Multi-MOC Execution<br />

Platform” for International seminar on application-specific multi-processor<br />

systems on chip (MPSOC), Quebec, Canada, July 2012.<br />

30. Invited speaker “CompSOC: A Mixed-Criticality Multi-MOC Execution<br />

Platform” Vienna university, 15 October 2012.<br />

34


31. Invited speaker on the panel on “Timing isolation in commercial-of-theshelf<br />

systems” at the CRTS workshop, Puerto Rico, December 2012.<br />

32. Invited speaker “Something XXXXXXXXX” for International seminar<br />

on application-specific multi-processor systems on chip (MPSOC), Japan,<br />

July 2013.<br />

6.7 Invited Lectures, Tutorials, etc.<br />

1. Presented (part of) the CCT course “Phideo” on high-level behavioural<br />

synthesis a number of times at Philips from 1995-1999.<br />

2. Invited MSc course “Quality of Service in Networks on Chip: the Æthereal<br />

Approach,” KTH, Sweden, May 2003.<br />

3. Tutorial “Assembling an SoC: Communication Architectures and Protocols,”<br />

Design automation conference (DAC), US, June 2003.<br />

4. Tutorial “Predictable Performance in SoC and NoC,” and “Quality of<br />

Service in Networks on Chip,” for the “Multiprocessor <strong>Systems</strong> on Chip”<br />

Swedish national summer school (INTELECT), Sweden, August 2003.<br />

5. Guest lecture “Predictable Performance in <strong>Systems</strong> on Chip and Networks<br />

on Chip,” at the TUE, Eindhoven, October 2003.<br />

6. Teaching in the CTT course “Top-Down VLSI Design,” at Philips, 2003.<br />

7. Invited lecture (“Computation Architectures” and “Communication Architectures”)<br />

for ASCI Winterschool on Embedded <strong>Systems</strong>, March 2004.<br />

8. Invited MSc course “Predictable Performance in SoCs - A Case Study”<br />

and “Quality of Service in On-Chip Interconnects,” KTH, Sweden, March<br />

2004.<br />

9. Guest lecture “Quality of Service in On-Chip Interconnects,” TUE, Eindhoven,<br />

October 2004.<br />

10. Guest lecture “Interconnects for <strong>Systems</strong> on Chip,” Lund University, Sweden,<br />

October 2005.<br />

11. Guest lecture “Interconnects for <strong>Systems</strong> on Chip,” TUE, Eindhoven, October<br />

2005.<br />

12. Lecture “Project leadership: Why and how did this happen to me?” for<br />

project leader course (several times).<br />

13. Invited lecture “On-Chip Interconnects: Architectures and Design Flows,”<br />

for ASCI Winterschool on Embedded <strong>Systems</strong>, March 2006.<br />

14. Tutorial “Quality of service in networks,” at the “NoC at the Age of Six:<br />

Advanced Topics, Current Challenges and Trends” tutorial at the Design,<br />

Automation and Test in Europe Conference and Exhibition (DATE), April<br />

2007.<br />

15. Lecture “Challenges in System on Chip Design” for NXP Semiconductors<br />

course for system architects, December 2007.<br />

16. Guest lecture “Networks on Chip,” at the TUE, Eindhoven, December<br />

2008.<br />

17. Guest lecture “Networks on Chip,” at the TUE, Eindhoven, November<br />

2009.<br />

18. Guest lectures “Swarm Intelligence,” at the TUE, Eindhoven, December<br />

35


2009.<br />

19. Colloquium “MPSOC performance virtualisation for enhanced robustness<br />

and performance verification” at Computer Science, TUE, Eindhoven, December<br />

2011.<br />

20. Invited lecture “CompSOC - A predictable and composable execution platform”<br />

at ASCI Winterschool on Embedded <strong>Systems</strong>, March 2012.<br />

7 Mangement, Promotions, Supervision<br />

7.1 Promotor<br />

1. Andreas Hansson “A Composable and Predictable On-Chip Interconnect.”<br />

Second promotor, Eindhoven University of Technology, June 2009. Cum<br />

laude thesis, and winner of the Else Kooij Prize.<br />

2. Benny Akesson “Predictable and Composable System-on-Chip Memory<br />

Controllers.” Promotor, Eindhoven University of Technology, February<br />

2010.<br />

3. Jae Young Hur “Customizing and Hardwiring On-Chip Interconnects in<br />

FPGAs.” Promotor, Delft University of Technology, The Netherlands,<br />

January 2011.<br />

4. Radu Stefan “Resource Allocation in Time-Division-Multiplexed Networks<br />

on Chip,” Promotor, Delft University of Technology, The Netherlands,<br />

April 2012.<br />

5. Muhammad Aqeel Wahlah “Field Programmable Gate Arrays with Hardwired<br />

Networks on Chip.” Promotor, Delft University of Technology, The<br />

Netherlands, November 2012.<br />

For the following promotions, I replaced the deceased original promotor Prof.<br />

S. Vassiliadis, and was not actively involved with the research of the promovendus/a.<br />

1. Lotfi Mhamdi “Scheduling in High Performance Buffered Crossbar Switches.”<br />

PhD committee, Delft University of Technology, The Netherlands, October<br />

2007.<br />

2. Iosif Antochi “Suitability of Tile-Based Rendering for Low-Power 3D Graphics<br />

Accelerators.” Co-promotor, Delft University of Technology, The Netherlands,<br />

October 2007.<br />

3. Daniel Ramiro Humberto Calderón Racabado “Arithmetic Soft-Core Accelerators.”<br />

Promotor, Delft University of Technology, The Netherlands,<br />

November 2007.<br />

4. Christoforos Krachis “Reconfigurable Network Processing Platforms.” Promotor,<br />

Delft University of Technology, The Netherlands, December 2007.<br />

5. Ricardo Chaves “Secure Computing on Reconfigurable <strong>Systems</strong>.” Promotor,<br />

Delft University of Technology, The Netherlands, December 2007.<br />

6. Ioannis Sourdis “Designs & Algorithms for Packet and Content Inspection.”<br />

Co-promotor, Delft University of Technology, The Netherlands,<br />

December 2007.<br />

36


7. Asadollah Shahbahrami “Avoiding Conversion and Rearrangement Overhead<br />

in SIMD Architectures.” Promotor, Delft University of Technology,<br />

The Netherlands, September 2008.<br />

8. Filipa Duarte “A Cache-based Hardware Accelerator for Memory Data<br />

Movements.” Promotor, Delft University of Technology, The Netherlands,<br />

October 2008.<br />

9. Anca Mariana Molnos “Task-Centric Memory Management for an On-<br />

Chip Multiprocessor.” Promotor, Delft University of Technology, The<br />

Netherlands, January 2009.<br />

10. Carlo Galuzzi “Automatically Fused Instructions.” Promotor, Delft University<br />

of Technology, The Netherlands, May 2009.<br />

11. Pepijn de Langen “Energy Reduction Techniques for Caches and Multiprocessors.”<br />

Promotor, Delft University of Technology, The Netherlands,<br />

October 2009.<br />

12. Behnaz Pourebrahimi “An Economic Framework for Resource Allocation<br />

in Ad-hoc Grids.” Promotor, Delft University of Technology, The Netherlands,<br />

November 2009.<br />

13. Cor Meenderinck “Improving the Scalability of Multicore <strong>Systems</strong> With a<br />

Focus on H.264 Video Decoding.” Promotor, Delft University of Technology,<br />

The Netherlands, July 2010.<br />

7.2 PhD Committees<br />

1. Daniel Wiklund Licentiate defence, Linkoping University, Sweden, 2003.<br />

2. Giuseppe Garcea “Trade offs in Buffer Planning.” PhD committee, TU<br />

Delft, The Netherlands, June 2005.<br />

3. Tobias Bjerregaard “The MANGO Clockless Network-on-Chip: Concepts<br />

and Implementation.” PhD opponent, DTU, Sweden, January 2006.<br />

4. Nikolay Kavaldjiev “A Run-Time Reconfigurable Network-on-Chip for<br />

Streaming DSP Applications.” PhD committee, Technical University Twente,<br />

The Netherlands, January 2006.<br />

5. Zhonghai Lu “Design and Analysis of On-Chip Communication for Networkon-Chip<br />

Platforms.” PhD opponent, KTH, Sweden, March 2007.<br />

6. Elena Moscu Panainte “The Molen Compiler for Reconfigurable Architectures.”<br />

PhD committee, Delft University of Technology, The Netherlands,<br />

June 2007.<br />

7. Théodore Marescaux “Mapping and Management of Communication Services<br />

on MP-SoC Platforms.” PhD committee, Eindhoven University of<br />

Technology, The Netherlands, September 2007.<br />

8. Sander Stuijk “Predictable Mapping of Streaming Applications on Multiprocessors.”<br />

PhD committee, Eindhoven University of Technology, The<br />

Netherlands, October 2007.<br />

9. Petro Poplavko “An accurate Analysis for Guaranteed Performance of<br />

Multiprocessor Streaming Applications.” PhD committee, Eindhoven University<br />

of Technology, The Netherlands, November 2008.<br />

37


10. Claudiu Zissulescu-Ianculescú “Synthesis of a Parallel Data Stream Processor<br />

from Data Flow Process Networks.” PhD committee, Leiden University,<br />

The Netherlands, November 2008.<br />

11. Calin Ciordas “Monitoring-Aware Network-on-Chip Design.” PhD committee,<br />

Eindhoven University of Technology, The Netherlands, December<br />

2008.<br />

12. Pascal T. Wolkotte “Exploration Within the Network-on-Chip Paradigm.”<br />

PhD committee, Technical University Twente, The Netherlands, January<br />

2009.<br />

13. Arno Moonen “Predictable Embedded Multiprocessor Architecture for<br />

Streaming Applications.” PhD committee, Eindhoven University of Technology,<br />

The Netherlands, June 2009.<br />

14. Zhoukun Wang “Design and Multi-Technology Multi-Objective Comparative<br />

Analysis of Families of MPSOC.” PhD examiner, Institute polytechnique<br />

de Grenoble, November 2009.<br />

15. Mahmood Ahmady “High-performance Processing in Networked and Grid<br />

Environments.” PhD committee, Delft University of Technology, The<br />

Netherlands, May 2010.<br />

16. Sahar Foroutan “‘An Analytical Method for Performance Evaluation of<br />

Networks-on-Chip,” PhD committee, CEA/LETI, September 2010.<br />

17. Marius Gligor “Fast Simulation Strategies and Adaptive DVFS Algorithm<br />

for Low Power MPSoCs,” PhD committee, TIMA, Grenoble, france,<br />

September 2010.<br />

18. Claudia Russu “Multi-Level Fault-Tolerance in Networks-on-Chip,” PhD<br />

committee, Institute Polytechnique de Grenoble, France, September 2010.<br />

19. Orlando Moreira “Temporal Analysis and Scheduling of Hard Real-Time<br />

Radios running on a Multi-Processor,” PhD commitee, TU Eindhoven,<br />

January 2012.<br />

20. Ra’Ed Al-Dujaily “Embedded Dynamic Programming Networks for Networks-<br />

On-Chip,” PhD commitee, University of Newcastle, August 2012.<br />

21. Leando Fiorin “High level services for Networks-on-Chip,” PhD commitee,<br />

Universitá della Svizzera Italiana, September 2012.<br />

22. Mikel Azkarate-Askasua “The Transient Tolerant Time-Triggered Systemon-Chip<br />

(4TSoC),” PhD commitee, TU Wien, 2012.<br />

7.3 Team Leader<br />

I guide(d) the following people (post-docs, researchers, technical programmers):<br />

1. Benny ˚Akesson, post-doc<br />

2. Arnaldo Azevedo, post-doc<br />

3. Jude Angelo Ambrose, post-doc<br />

4. Sven Goossens, researcher<br />

5. Martijn Koedam, technical programmer<br />

6. Cor Meenderinck<br />

7. Anca Molnos, post-doc<br />

8. Radu Stefan, post-doc<br />

38


9. Jun Zhu, post-doc<br />

7.4 PhD Advisor<br />

I have (co)-advised the following PhD students, and I was the (co)-promotor for<br />

those marked in italics.<br />

Finished:<br />

1. Benny ˚Akesson PhD, TUE “Predictable and Composable System-on-Chip<br />

Memory Controllers,” 2010<br />

2. Calin Ciordas PhD, TUE “Monitoring-Aware Network-on-Chip Design,”<br />

2008<br />

3. Andreas Hansson PhD, TUE “A Composable and Predictable On-Chip<br />

Interconnect,” 2009<br />

4. Jae Young Hur PhD, TUD, “Customizing and Hardwiring On-Chip Interconnects<br />

in FPGAs,” 2011<br />

5. Radu Stefan PhD, TUD “Resource Allocation in Time-Division-Multiplexed<br />

Networks on Chip,” 2012<br />

6. Muhammad Aqeel Wahlah PhD, TUD, “Field Programmable Gate Arrays<br />

with Hardwired Networks on Chip’,” 2012.<br />

Ongoing:<br />

1. Ashkan Beyranvand Nejad PhD, TUD on SOC debug<br />

2. Karthik Chandrasekar PhD, TUD, on NOCs and low power<br />

3. Manil Dev Gomony PhD, TUE on 3D memories<br />

4. Davit Mirzoyan PhD, TUD, on system-level variability<br />

5. Andrew Nelson PhD, TUD, on energy-performance trade-offs in real-time<br />

multi-processor systems<br />

6. Shubhendu Sinha PhD, TUE, on virtualisation in NOCs<br />

7. Pavel Zaykov PhD, TUD, on hardware-accelerated OS<br />

Abandoned:<br />

1. Shiqi Li PhD, TUD, on MPSOC debug<br />

2. Aleksandar Milutinovic PhD, UT on system-level energy management<br />

3. Santiago Gonzalez Pestana PhD, TUE on network-on-chip metrics and<br />

benchmarking (Marie Curie) (3 years)<br />

7.5 Visiting researchers<br />

1. Alexandre Amory PhD, Universidade de Rio Grande do Sul on using networks<br />

on chip as test access mechanism at NXP (Jan 2005 - Jan 2006).<br />

2. Sahar Foroutan post-doc, TIMA/IMAG at TUE (October 2011 - May<br />

2012).<br />

3. Erik Larsson associate professor, Linkoping University at NXP (October<br />

2008 - May 2010).<br />

4. Srinivasan Murali PhD, Stanford University on NOC synthesis at Philips<br />

(July - September 2005).<br />

5. Rikard Thid PhD KTH on network-on-chip metrics and benchmarking at<br />

Philips (October 2003 - April 2004).<br />

39


7.6 PDEng Advisor<br />

1. Ying Zhang PDEng, TUE on virtualising an RTOS in a partitioned RTOS<br />

(2012, 10 months).<br />

7.7 MSc Supervision<br />

I have (co)-supervised the following MSc and visiting PhD students, and I am<br />

the responsible professor for those marked in italics.<br />

1. Benny ˚Akesson MSc, Lund University “An analytical model for a memory<br />

controller offering hard real-time guarantees” (9 months) at Philips.<br />

2. Ruud Benjaminsen MSc, TUD “Low Power Evaluation for Arbitration<br />

and MPSoC” (2009, 9 months) at IMEC-NL.<br />

3. Ardy van den Berg MSc, TUD “Automation of wrapper design for the<br />

reuse of a bus, network-on-chip, or other functional interconnect as test<br />

access mechanism in a chip” (9 months) at NXP.<br />

4. Ashkan Beyranvand Nejad MSc, KTH “High-Level Debugger Software for<br />

Communication-Centric Transaction-Based Debug Infrastructure of <strong>Systems</strong><br />

on Chip (Æthereal Platform)” (9 months) at Philips.<br />

5. Victor Camelo Romero MSc, TUE on multi-cpu mapping of a control<br />

application (7 months) at ASML.<br />

6. Razvan Dinu MSc, TUE on design flow refactoring (9 months) at Philips.<br />

7. Marcus Ekerhult MSc, Lund University “CompOSe: Design and implementation<br />

of a composable and slack-aware operating system targeting<br />

a Multi-Processor System-on-Chip in the signal processing domain” (9<br />

months) at NXP<br />

8. Matias Escudero Martinez MSc, TUD “An Off-Chip Bridge for On-Chip<br />

Network-Based <strong>Systems</strong> Supporting Traffic Quality of Service” (2010, 12<br />

months).<br />

9. Tomaz Felicijan PhD, Manchester University “Asynchronous TDMA Networks<br />

on Chip” (6 months) at Philips.<br />

10. Om Prakash Gangwal MSc, IIT Delhi on RTL power estimations in combination<br />

with high-level synthesis (9 months)<br />

11. Muhammad Hafijul Islam MSc, KTH on efficient slot table architectures<br />

for routers (2 months)<br />

12. Andreas Hansson MSc, Lund University “UMARS: A Unified Approach<br />

to Mapping and Routing in a Combined Guaranteed Service and Best-<br />

Effort Network-on-Chip Architecture” (9 months) Specification and Environment”<br />

(9 months)<br />

13. Dustin Pinedo Hernandez MSc, TUE on “Selecting hardware for Digital<br />

Control” at ASML (2012, 7 months).<br />

14. Rene de Jong MSc, TUE “System Exploration of Next Generation Flash<br />

Devices”, at ARM Ltd (2012, 7 months).<br />

15. Turhan Karadeniz MSc, TUD “Hardware Design and Implementation of a<br />

Network-on-Chip Based High Performance Crossbar Switch Fabric” (2009,<br />

9 months)<br />

40


16. Peter Klerks MSc, TUE at on graphics acceleration with Axon (2012, 3<br />

months pre-project).<br />

17. Anand Khot MSc, TUD, “Performance estimation technique for optimizing<br />

and integrating IPs in MPSoCs” (2010, 9 months)<br />

18. Tim Kouters MSc, TUE, “Exploiting Memory Maps and predictable Open-<br />

Page Policy in SDRAM for mixed Time-Criticality <strong>Systems</strong>” (2010, 9<br />

months)<br />

19. Jasper Kuijsten MSc, TUE, “DRAM memory controller architecture”<br />

(2012, 9 months)<br />

20. Aster Leegwater MSc, TUD, “Scheduling Streaming Applications on a<br />

Composable Multi-Processor System” (2010, 9 months)<br />

21. Tali Milea MSc, TUE “Conservative and compositional modeling in the<br />

CompSOC platform” (2012, 7 months).<br />

22. Orhan Mert PDEng, TUE on on-chip solar cell, at Moog (2012)<br />

23. Ghazaleh Nazarian MSc, TUD “On-line Testing of Routers in Networkson-Chips”<br />

(11 months).<br />

24. Ba Thang Nguyen MSc, TUD “Task Scheduling Methods for Composable<br />

and Predictable MPSoC” platform (9 months).<br />

25. Douwe van Nijnatten MSc, TUE on multi-resource power management<br />

(2013, 7 months)<br />

26. Thomas Philipp MSc, Aachen University “Modelling of the Æthereal Network<br />

on Chip using the Aachen NOC Exploration Framework” (February<br />

- June 2004) at NXP.<br />

27. Alexandru Ionut Pustianu MSc, TUE on automotive networking (2012, 9<br />

months) at NXP<br />

28. Sjoerd te Pas MSc, TUE “Quality versus energy trade-off for real-time<br />

applications on a composable MPSoC” (2011, 7 months).<br />

29. Pengwei Ren MSc, TUD “Wrapper design for the reuse of a NoC or other<br />

functional interconnect as test infrastructure” (9 months) at Philips.<br />

30. Markus Ringhofer MSc, Lund University “Design and Implementation of<br />

a Memory Controller for Real-Time Applications” (9 months) at Philips.<br />

31. Victor Camelo Romero MSc, TUE “Multi-core CPU Exploration for CARM<br />

Host in ASML Technology” at ASML (2012, 7 months).<br />

32. Thijs Schenkelaars MSc, TUE “Optimal scheduling of switched FlexRay<br />

networks” (2009, 9 months) at NXP.<br />

33. Simon Schliecker MSc, University of Braunschweig on data flow modelling<br />

of systems (6 months) at Philips.<br />

34. Pratibha Sharma MSc, IIRT Delhi “Configuring the Æthereal NoC using<br />

an ARM processor” (9 months) at Philips.<br />

35. Dongrui She MSc, TUE “FPGA Platform for Emulation of Composable<br />

and Predictable MPSoC Power Management” (9 months) at NXP.<br />

36. Winston Siauw MSc, TUD “3D Architecture Exploration for Multimedia<br />

Applications” (2009, 9 months) at NXP.<br />

37. Gabriel Squillace PDEng, TUE, “Design of Telemetry update for Reaction<br />

Wheel Unit” (2011) at Bradford Engineering.<br />

41


38. Remco van Steeden MSc, University of Twente “Communication-Centric<br />

Debug of <strong>Systems</strong>-on-Chip using Networks-on-Chip” (6 months) at Philips.<br />

39. Williston Sterchi Hayes MSc, TUE “Memory Pattern Generation based<br />

on Specification and Environment” (9 months) at NXP.<br />

40. Eelke Strooisma MSc, TUD “A predictable and composable front-end for<br />

system on chip memory controllers” (12 months) at NXP.<br />

41. Mahesh Balaji Subburaman MSc, Linkoping “Flit Synchronous Aelite Network<br />

on Chip” (9 months) at NXP.<br />

42. Getachew Teshome Woldegebreal MSc, TUD “Front-end for Composable<br />

Resource Sharing Using Latency-Rate Servers” (9 months) at NXP.<br />

43. Siddhart Umrani MSc, TUD “Communication-centric Debugging of <strong>Systems</strong><br />

on Chip using Networks on Chip” (9 months) at NXP.<br />

44. Iria Varela Senin MSc, TUD “Design of a High-Performance Buffered<br />

Crossbar Switch Fabric Using Network on Chip” (9 months).<br />

45. Eugenia Eunice Valdez Solorzano MSc, TUD “Monitoring of Traffic Generators<br />

for Networks on Chip using the Æthereal Platform”<br />

46. Bert Visser PhD, UT on transformations from process-based application<br />

specifications to input for high-level synthesis tools (3 years) at Philips.<br />

47. Rob van Wijk MSc, TUE dynamic reconfiguration in CompSOC (2012, 9<br />

months).<br />

48. Jason de Windt MSc, TUD “Protocol conversions for the Æthereal Networkson-Chip”<br />

(9 months).<br />

49. Uri Wiener MSc, TUE, “Modeling and Analysis of a Cache Coherent<br />

Interconnect” at ARM Ltd (2011, 7 months).<br />

7.8 MSc graduation committees<br />

(Excluding students listed above.)<br />

1. K. Chandrasekar “Performance Validation of Networks on Chip,” TUD,<br />

2009.<br />

2. Z. Lu, “MPSoC Platform Design and Simulation for Power Performance<br />

Estimation,” TUE, 2010.<br />

3. J. Cai, “Budget-scheduling for a Real-time Software-Defined Multi-Radio<br />

on a Heterogeneous Multiprocessor System,” TUE, 2010.<br />

4. M. Verschoor, “Design of a crypto core for securing intra system-on-chip<br />

communication,” TUD, 2010.<br />

5. G. Schmitz, “Video Camera based Photoplethysmography using Ambient<br />

Light,” TUE, 2011.<br />

6. K. Hoogendoorn, “Inter-cluster Communication on Clustered SIMD Architectures”<br />

TUE, 2011.<br />

7. Gowri Sankar Ramachandran “Integrating enhanced slot-shifting in µC/OS-<br />

II” TUE, 2011.<br />

8. Johan Splinter “Tile-Based rasterization on an embedded Tile-based MP-<br />

SoC” TUD, 2011.<br />

9. Pieter Custers “Algorithmic Species: Classifying Program Code for Parallel<br />

Computing”, TUE, 2012.<br />

42


10. Wouter Loeffen “Automated Generation of IP Core Wrappers for Faster<br />

SoC Integration using High Level Synthesis”, TUE, 2012.<br />

11. Adriaan van Buuren “Dynamic loading and task migration for streaming<br />

applications on a composable system on chip” TUD, 2012. r<br />

7.9 Bachelor Project Supervision<br />

I have (co)-supervised the following BSc students for their bachelor end projects.<br />

1. Ruud Bauhaus, TUE, on implementing H263 video encoding on Comp-<br />

SOC, 2011.<br />

2. Marco Rompen, TUE, on implementing a real-time control algorithm on<br />

CompSOC, 2012.<br />

8 Committees<br />

• Examination committee embedded systems 1 October 2010 - 30 September<br />

2014.<br />

• Education committee electrical engineering faculty as of 1 December 2010.<br />

• Advisory committee (Benoemingsadviescommissie) for the TU Delft “Computer<br />

Engineering” Chair Professor position, 2009.<br />

• Advisory committee for a Assistant/Associate Professor System-on-Chip<br />

position at the Danish Technical University (DTU), 2009.<br />

• Advisory committee (Benoemingsadviescommissie) for the TU Delft “Computer<br />

Engineering” Chair Professor position, 2011.<br />

9 Research Interests<br />

Having worked in varied research fields is, I believe, one of my strengths. In<br />

academia, I started with software engineering and pure mathematics, and then<br />

moved to formal hardware verification using logics and proof systems. After joining<br />

Philips, after working on hardware synthesis and associated high-throughput<br />

hardware architectures, I shifted to system-level design methods and on-chip<br />

communication protocols. Many of these diverse interests are combined in my<br />

current networks-on-chip research.<br />

The focus of the Æthereal research effort has been on defining a network<br />

on chip with communication services with predictable performance to enable a<br />

design flow that reduces the effort to design and program SOCs. As of 2004,<br />

memory controllers with guaranteed performance have been an additional topic<br />

of interest, to enlarge the scope of predictable communication to include predictable<br />

storage. My long-term goal is to be be able to construct SOCs (including<br />

software) with predictable performance, based on compositional performance<br />

(quality of service) models that are enabled by appropriate hardware and<br />

software concepts and architectures.<br />

43


10 Miscellaneous<br />

I am fluent user of both Dutch and English. The English Language Test, as<br />

set by The British Council (University of Cambridge) rated me as a “very good<br />

user” in 1987. In 2012 the Eindhoven university of technology gave me the<br />

maximum score (C2) on all categories (spoken fluency, grammar, vocabulary,<br />

phonological control, exchanging information, coherence and cohesion). I speak<br />

Italian well enough for good day-to-day use.<br />

44

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!