23.08.2013 Views

CV - Electronic Systems

CV - Electronic Systems

CV - Electronic Systems

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Summer School on Advanced Computer Architecture and Compilation for<br />

Embedded <strong>Systems</strong> (ACACES), pages 2272–230, July 2005.<br />

18. Andreas Hansson, Kees Goossens, and Andrei Rădulescu. A unified approach<br />

to constrained mapping and routing on network-on-chip architectures.<br />

In Int’l Conf. on Hardware/Software Codesign and System Synthesis<br />

(CODES+ISSS), pages 75–80. ACM, September 2005.<br />

19. Biniam Gebremichael, Frits Vaandrager, Miaomiao Zhang, Kees Goossens,<br />

Edwin Rijpkema, and Andrei Rădulescu. Deadlock prevention in the<br />

Æthereal protocol. In Dominique Borrione and Wolfgang Paul, editors,<br />

Proc. Working Conference on Correct Hardware Design and Verification<br />

Methods (CHARME), volume 3725 of Lecture Notes in Computer Science<br />

(LNCS), pages 345–348, October 2005.<br />

20. Srinivasan Murali, Martijn Coenen, Andrei Rădulescu, Kees Goossens,<br />

and Giovanni De Micheli. Mapping and configuration methods for multiuse-case<br />

networks on chips. In Proc. Design Automation Conference.<br />

Asia and South Pacific (ASPDAC), pages 146–151, New York, NY, USA,<br />

January 2006. ACM Press.<br />

21. Srinivasan Murali, Martijn Coenen, Andrei Rădulescu, Kees Goossens,<br />

and Giovanni De Micheli. A methodology for mapping multiple usecases<br />

on to networks on chip. In Proc. Design, Automation and Test<br />

in Europe Conference and Exhibition (DATE), pages 118–123, 3001 Leuven,<br />

Belgium, Belgium, March 2006. European Design and Automation<br />

Association.<br />

22. Frits Steenhof, Harry Duque, Björn Nilsson, Kees Goossens, and Rafael<br />

Peset Llopis. Networks on chips for high-end consumer-electronics TV<br />

system architectures. In Proc. Design, Automation and Test in Europe<br />

Conference and Exhibition (DATE), volume 2, pages 148–153, 3001 Leuven,<br />

Belgium, Belgium, March 2006. European Design and Automation<br />

Association.<br />

23. Călin Ciorda¸s, Kees Goossens, Andrei Rădulescu, and Twan Basten. NoC<br />

monitoring: Impact on the design flow. In Proc. Int’l Symposium on<br />

Circuits and <strong>Systems</strong> (ISCAS), pages 1981–1984, May 2006.<br />

24. Alexandre M. Amory, Kees Goossens, Erik Jan Marinissen, Marcelo Lubaszewski,<br />

and Fernando Moraes. Wrapper design for the reuse of networks-on-chip<br />

as test access mechanism. In Proc. European Test Symposium (ETS),<br />

pages 213–218, Washington, DC, USA, May 2006. IEEE Computer Society.<br />

25. Milan Pastrnak, Peter H.N. de With, Călin Ciorda¸s, Jef van Meerbergen,<br />

and Kees Goossens. Mixed adaptation and fixed-reservation QoS for<br />

improving picture quality and resource usage of multimedia (noc) chips.<br />

In Proc. Int’l Symposium on Consumer <strong>Electronic</strong>s (ISCE), pages 1–6,<br />

June 2006.<br />

26. Călin Ciorda¸s, Andreas Hansson, Kees Goossens, and Twan Basten. A<br />

monitoring-aware NoC design flow. In Proc. Euromicro Symposium on<br />

Digital System Design (DSD), pages 97–104, San Jose, CA, USA, August<br />

2006. EDA Consortium.<br />

10

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!