23.08.2013 Views

CV - Electronic Systems

CV - Electronic Systems

CV - Electronic Systems

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

21. Razvan Dinu, Kees Goossens, Andrei Rădulescu, Peter van den Hamer,<br />

and Ewa Hekstra-Nowacka. Refactoring the aethereal network-on-chip<br />

design flow: Extended data model. Technical Note 2005/00685, Philips<br />

Research, August 2005.<br />

22. Razvan Dinu, Kees Goossens, and Andrei Rădulescu. Analysis and refactoring<br />

of a design flow for application-specific networks on chip. Technical<br />

Note 2005/00686, Philips Research, 2005.<br />

23. Prathiba Sharma, Kees Goossens, Andrei Rădulescu, and Martijn Coenen.<br />

Configuring the æthereal NoC using an ARM processor. Technical Note<br />

2005/00568, Philips Research, July 2005.<br />

24. Santiago González Pestana, Kees Goossens, Andrei Rădulescu, and Rikard<br />

Thid. Framework and performance metric definitions: A first step towards<br />

network-on-chip benchmarking. Technical Note 2006/00003, Philips Research,<br />

January 2006.<br />

25. Andreas Hansson, Kees Goossens, and Andrei Rădulescu. Analysis of<br />

message-dependent deadlock in network-based systems on chip. Technical<br />

Report 2006/00230, Philips Research, March 2006.<br />

26. Markus Ringhofer, Kees Goossens, and Benny ˚Akesson. Design and implementation<br />

of a memory controller for real-time applications. Technical<br />

Note 2006/00500, Philips Research, November 2006.<br />

27. Remco van Steeden, Martijn Bennebroek, Kees Goossens, and Bart Vermeulen.<br />

Communication-centric debug of systems on chip using networks<br />

on chip. Technical Note 2006-00541, Philips Research, October 2006.<br />

28. Pengwei Ren, Erik Jan Marinissen, Kees Goossens, Sandeep Goel, and<br />

Georgi Gaydadjiev. Wrapper design for the reuse of a NOC or other<br />

functional interconnect as test infrastructure. Technical Note NPX-R-TN<br />

2007/00094, NXP Semiconductors Research, May 2007.<br />

29. Benny ˚Akesson, Liesbeth Steffens, Eelke Strooisma, and Kees Goossens.<br />

Real-time scheduling of hybrid systems using credit-controlled static-priority<br />

arbitration. Technical Note NPX-TN 2007/00119, NXP Semiconductors<br />

Research, September 2007.<br />

30. Siddharth Umrani, Kees Goossens, and Bart Vermeulen. Debug infrastructure<br />

for communication-centric debug of systems-on-chip using a<br />

network-on-chip. Technical Note NPX-TN 2007/00132, NXP Semiconductors<br />

Research, December 2007.<br />

31. Radu Stefan, Ioannis Sourdis, Georgi Gaydadjiev, and Kees Goossens.<br />

Comparison of custom topology networks against rigid interconnects. Technical<br />

Report CE-TR-2008-01, Computer Engineering, Delft University of<br />

Technology, February 2008.<br />

32. Eelke Strooisma, Benny ˚Akesson, Kees Goossens, and Ad Siereveld. A predictable<br />

and composable front-end for system on chip memory controllers.<br />

Technical Note NXP-TN-2007-00281, NXP Semiconductors, May 2008.<br />

33. Firew Siyoum, Benny Akesson, Sander Stuijk, Kees Goossens, and Henk<br />

Corporaal. Dataflow model for credit-controlled static-priority arbitration.<br />

Technical Report ESR-2010-03, <strong>Electronic</strong> <strong>Systems</strong>, Eindhoven university<br />

of technology, October 2010.<br />

24

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!