23.08.2013 Views

CV - Electronic Systems

CV - Electronic Systems

CV - Electronic Systems

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Design Automation Conference (DAC), pages 988–993, New York, NY,<br />

USA, June 2012. ACM.<br />

82. Fabrice Lemonnier, Philippe Millet, Gabriel Marchesan Almeida, Michael<br />

Hübner, Jürgen Becker, Sébastien Pillement, Olivier Sentieys, Martijn<br />

Koedam, Shubhendu Sinha, Kees Goossens, Christian Piguet, Marc-Nicolas<br />

Morgan, and Romain Lemaire. Towards future adaptive multiprocessor<br />

systems-on-chip: an innovative approach for flexible architectures. In<br />

Proc. Int’l Conference on Embedded Computer <strong>Systems</strong>: Architectures,<br />

MOdeling and Simulation (SAMOS), Samos, Greece, July 2012.<br />

83. Cor Meenderinck, Anca Molnos, and Kees Goossens. Composable virtual<br />

memory for an embedded SoC. In Proc. Euromicro Symposium on Digital<br />

System Design (DSD), Izmir, Turkey, September 2012.<br />

84. Gervin Thomas, Karthik Chandrasekar, Benny Akesson, Ben Juurlink,<br />

and Kees Goossens. A predictor-based power-saving policy for DRAM<br />

memories. In Proc. Euromicro Symposium on Digital System Design<br />

(DSD), Izmir, Turkey, September 2012.<br />

85. Arnaldo Azevedo, Bart Vermeulen, and Kees Goossens. Architecture and<br />

design flow for a debug event distribution interconnect. In Proc. Int’l<br />

Conference on Computer Design (ICCD), Montreal, Canada, September<br />

2012.<br />

86. Andrew Nelson, Anca Molnos, and Kees Goossens. Power versus quality<br />

trade-offs for adaptive real-time applications. In Embedded <strong>Systems</strong><br />

for Real-Time Multimedia (ESTIMedia), pages 75–84, Tampere, Finland,<br />

October 2012.<br />

87. Andrew Nelson, Anca Molnos, Ashkan Beyranvand Nejad, Davit Mirzoyan,<br />

Sorin Cotofana, and Kees Goossens. Embedded computer architecture<br />

laboratory: A hands-on experience programming embedded systems<br />

with resource and energy constraints. In Workshop on Embedded <strong>Systems</strong><br />

Education (WESE), Tampere, Finland, October 2012.<br />

88. Turhan Karadeniz, Lotfi Mhamdi, Kees Goossens, and J.J. Garcia-Luna-<br />

Aceves. Hardware design and implementation of a network-on-chip based<br />

load balancing switch fabric. In Proc. Int’l Conference on Reconfigurable<br />

Computing and FPGAs (ReConFig), Cancun, Mexico, December 2012.<br />

89. Kees Goossens, Arnaldo Azevedo, Karthik Chandrasekar, Manil Dev Gomony,<br />

Sven Goossens, Martijn Koedam, Yonghui Li, Davit Mirzoyan, Anca Molnos,<br />

Ashkan Beyranvand Nejad, Andrew Nelson, and Shubhendu Sinha.<br />

Virtual execution platforms for mixed-time-criticality applications: The<br />

CompSOC architecture and design flow. In Proc. Workshop on Compositional<br />

Theory and Technology for Real-Time Embedded <strong>Systems</strong> (CRTS),<br />

San Juan, Puerto Rico, December 2012.<br />

90. Pengcheng Huang, Orlando Moreira, Kees Goossens, and Anca Molnos.<br />

Throughput-constrained voltage and frequency scaling for real-time heterogeneous<br />

multiprocessors. In Proc. Symposium On Applied Computing<br />

(SAC), March 2013.<br />

91. Karthik Chandrasekar, Christian Weis, Benny Akesson, Norbert Wehn,<br />

and Kees Goossens. System and Circuit Level Power Modeling of Energy-<br />

16

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!